Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 19, Issue 5
Displaying 1-16 of 16 articles from this issue
  • A. D. Allen, G. Breyta, P Brock, R. DiPietro, D. Sanders, R. Sooriyaku ...
    2006 Volume 19 Issue 5 Pages 569-572
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    The fundamental properties of a series of hexafluoroalcohol-bearing methacrylate polymers (HFA-MA) are reported here. The material behavior is heavily influenced by the linking group between the methacrylate ester (or polymer backbone) and the hexafluoroalcohol (HFA) group. These materials form the basis for a variety of positive and negative resists, as well as immersion topcoats. Detailed structure/property relationships of these homopolymers and copolymers will be discussed. Finally, polymer blending results of dissimilar homopolymers are compared with copolymers of the same composition.
    Download PDF (1344K)
  • Takashi Sasaki, Naoko Shirota, Shu-Zhong Wang, Yoko Takebe, Osamu Yoko ...
    2006 Volume 19 Issue 5 Pages 573-578
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    We earlier developed new monocyclic fluoropolymers (FUGU) for F2 resist materials. But, it is necessary for FUGU to be improved in their characteristics, especially in the dry-etching resistance, in order to apply to ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100oC). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. These result shows that FUGU unit has a unique characteristics of the sensitivity with 193 nm exposure. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193 nm. And FUGU ter-polymers showed high sensitivity toward 193 nm exposure. So we expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.
    Download PDF (1874K)
  • Mitsuhiro Hata, Man-Hyoung Ryoo, Sang-Jun Choi, Han-Ku Cho
    2006 Volume 19 Issue 5 Pages 579-583
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    Novel alkaline developable top-coat materials containing a bulky silicon group are presented. This bulky silicon group endows transparency at 193nm wavelength and hydrophobicity to the top-coat. Good pattern profiles and negligible changes of lithographic performance are confirmed on ArF photoresists. Receding angle of this top-coat is 90o, which is the highest among alkaline developable top-coats reported to date, and only comparable by solvent soluble-typetop-coat TSP3A.
    Download PDF (1872K)
  • M. Meanhoudt, M. Kocsis, N. Stepanenko, S. O'Brien, D. Van Den Heuvel, ...
    2006 Volume 19 Issue 5 Pages 585-591
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    The immersion-specific watermark defect is discussed in its formation mechanism and in the influence of materials and exposure process. The non-topcoat approach was the basis of the work, where the properties of resist surface itself played the key role. Water droplets left on the resist surface were considered to induce the watermark defect in two possible ways; (1) the droplet is carried over to PEB process and impact the resist properties under the heat, (2) the droplet already evaporates before the PEB leaving some residue on the surface. A notable reduction in the resist dissolution rate was observed in the former case, which could be due to either physical or chemical change in the resist materials triggered by the water, and thereby would result in an unavoidable patterning failure. Therefore it is essential not to leave any water droplets on the surface in preventing the watermark formation. A very much hydrophobic materials design was proven effective in achieving this. The watermark formation was correlated to the scanning speed of immersion showerhead and the defectivity was evaluated in this perspective. The receding contact angle of the resist surface was found to well correlate to the "allowable" scanning speed, and was concluded that the higher was the better. A resist material was newly designed by using a hydrophobic polymer on this basis and the resist demonstrated a promising results not only in the watermark defectivity but also in lithographic performance.
    Download PDF (1886K)
  • Shinichi Kanna, Haruki Inabe, Kei Yamamoto, Toshiaki Fukuhara, Shinji ...
    2006 Volume 19 Issue 5 Pages 593-599
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    The immersion-specific watermark defect is discussed in its formation mechanism and in the influence of materials and exposure process. The non-topcoat approach was the basis of the work, where the properties of resist surface itself played the key role. Water droplets left on the resist surface were considered to induce the watermark defect in two possible ways; (1) the droplet is carried over to PEB process and impact the resist properties under the heat, (2) the droplet already evaporates before the PEB leaving some residue on the surface. A notable reduction in the resist dissolution rate was observed in the former case, which could be due to either physical or chemical change in the resist materials triggered by the water, and thereby would result in an unavoidable patterning failure. Therefore it is essential not to leave any water droplets on the surface in preventing the watermark formation. A very much hydrophobic materials design was proven effective in achieving this. The watermark formation was correlated to the scanning speed of immersion showerhead and the defectivity was evaluated in this perspective. The receding contact angle of the resist surface was found to well correlate to the "allowable" scanning speed, and was concluded that the higher was the better. A resist material was newly designed by using a hydrophobic polymer on this basis and the resist demonstrated a promising results not only in the watermark defectivity but also in lithographic performance.
    Download PDF (1550K)
  • Mitsuru Sato, Akira Kawai
    2006 Volume 19 Issue 5 Pages 601-611
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    For the topcoats for immersion lithography, the suppression of substance penetration is one of the most important functionalities. In order to characterize the penetration behavior, fluoric acid (HF) etching on silicon substrate is performed through a topcoat. The model topcoat is formulated with a fluorinated polymer and 2-metyl-isopropanol. The surface energy changes of silicon substrate represent the time dependency on the penetration through the topcoat. By the concentration and temperature change of the HF aqueous, it is confirmed that the penetration occurs under diffusion, and that the activation energy through the film can be neglect in comparison to that of etching the oxide layer. It is specially suggested that the diffusion should happen in the meniscus of the topcoat by the atomic force microscope observation. The diffusion coefficient for 0.5 wt% HF aqueous under 20°C is found to be 1x10-17m2/s in the 30nm film application.
    Download PDF (3241K)
  • Harry Sewell, Jan Mulkens, Diane McCafferty, Louis Markoya
    2006 Volume 19 Issue 5 Pages 613-623
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    This paper reports progress in developing and advancing Immersion Lithography beyond the current water based systems.
    Significant progress has been made in the development of 2nd generation immersion fluids. Many companies are developing fluids with refractive indices over 1.6. A significant number of these new fluids have been evaluated for application to optical lithography. The results are very promising. Imaging and linewidth control results for many fluids will be reported. It is shown that some of the new fluids are similar in impact on linewidth control performance to water. Some are not. Defect results from fluid droplet tests on resist and topcoats are also analyzed and reported. Results are compared with water. UV irradiation results for the fluids are also detailed. Indications are given that even though the fluid transmission properties of the fluids are degraded, recycling and rejuvenation of the fluid may be viable on the exposure tool.
    Download PDF (1856K)
  • William Hinsberg, Francis A. Houle
    2006 Volume 19 Issue 5 Pages 623-632
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    We examine the impacts of photochemistry of air and water on resist films during lithographic exposure at 193 nm. The analysis uses a detailed quantitative kinetic model based on available literature mechanistic data and constructed with in-house simulation tools. In conventional 193 nm lithography, both oxidation of the resist polymer due to the UV photolysis of molecular oxygen, and film interaction with strong acids, formed by photo-oxidation of nitrogen and sulfur species in ambient air, have been proposed to lead to degraded resist imaging. We assess the extent to which such reactions can occur under typical lithographic process conditions, and find that while oxidation is minimal, acid deposition into the top of the resist film can be significant and can spread over distances of millimeters. Immersion lithography using 193 nm radiation utilizes a layer of highly purified, degassed water as an index-matching fluid. When water is exposed to 193 nm light, short-lived chemical intermediates are produced by two pathways, neutral and ionic. A quantitative evaluation of this photochemistry during lithographic immersion exposure shows that neither these intermediates nor acid formed by photolysis of leached photoacid generator leads to substantial changes in the composition of the water layer, so resist impacts are not likely to be significant. Organic immersion fluids may undergo significant photolysis, however there are insufficient experimental data to assess any potential impacts at this time.
    Download PDF (3364K)
  • Nobuyuki N. Matsuzawa, Boontarika Thunnakart, Ken Ozawa, Yuko Yamaguch ...
    2006 Volume 19 Issue 5 Pages 633-640
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    For the purpose of identifying dual-BARC (Bottom Anti-Reflective Coating) structures for immersion lithography that do not depend on the polarization of light, illumination conditions and pattern sizes or pitches, dual-BARC parameters were optimized. Using our new code, dual-BARC parameters to minimize the substrate reflectance were obtained for BARC formed on a silicon oxide and nitride layer when NA is 1.0, 1.1, 1.2, 1.3 and 1.4. The thickness of the silicon oxide and nitride layer was varied from 10 to 200 nm. It was found that the dual-BARC concept works up to NA = 1.1 and 1.4 for BARC on a silicon oxide and a silicon nitride layer, respectively, although for the case of the dual BARC on a silicon oxide layer, the range of the thickness of the oxide layer where the dual-BARC concept works is limited. It was also found that using a structure consisting of a planarization layer combined with a single-layer BARC structure to make a reflection-control structure can work up to NA = 1.4 for both on a silicon oxide and nitride layer.
    Download PDF (2616K)
  • Taiichi Furukawa, Katsuhiko Hieda, Yong Wang, Takashi Miyamatsu, Kinji ...
    2006 Volume 19 Issue 5 Pages 641-646
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    ArF immersion lithography using a high-refractive-index fluid (HIF) is considered to be one of the most promising candidates for hp38nm or below. We have developed JSR HIL-001 and HIL-002 as new immersion fluids, the refractive index and transmittance of which are 1.64, >98%/mm and 1.65, >99%/mm (193.4nm, 23°:C), respectively. Using HIL-001 immersion and a two-beam interferometric exposure tool, hp30nm imaging has been demonstrated. However, despite the remarkable advances of recent HIF research, there is still a lot of issue about whether an organic fluid can be used in immersion lithography, since optical and photochemical behaviors of organic fluids at ArF wavelength have not been well understood so far. Besides, cost and disposal issues will be encountered when the organic immersion fluid is substituted for water. In this paper, we will address such problems by reporting our updated results on research of JSR HILs.
    Download PDF (1684K)
  • Kenji Sogo, Masaki Nakajima, Yoshihiko Hirai
    2006 Volume 19 Issue 5 Pages 647-651
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    Reproducing process of nano structures is newly proposed by the nano casting method. The replicated mold is fabricated using UV curable resin from the master structure by the nano casting method. Using the replicated mold, fine structures are again transferred to the polymer by the nano casting method. The damages to the original structures are relaxed in the replication process and the replicated mold is utilized repeatedly. Fine pattern reproduction using PMMA is successfully demonstrated from the quartz original anti-reflective structure. However, the problem is the releasing process from the replicated polymer mold.
    Download PDF (1320K)
  • Mitsuru Ishibashi, Yasuyuki Hotta, Tohru Ushirogouchi, Ryozo Akiyama, ...
    2006 Volume 19 Issue 5 Pages 653-656
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    Download PDF (1236K)
  • Toshiyuki Ogata, Sanae Furuya, Shogo Matsumura, Hideo Hada, Masamitsu ...
    2006 Volume 19 Issue 5 Pages 657-662
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    We have prepared three different methyl acetal polymers as poly(2-adamantyl oxymethyl methacrylate-co-γ-butyrolactone methacrylate) (AdOM), poly(4-oxo-2-adamantyl oxymethyl methacrylate-co-γ-butyrolactone methacrylate) (OAdOM), and poly (2-methyl(2-trifluoromethylnorbornyl) oxymethyl methacrylate-co-γ-butyrolactone methacrylate) (NBTFM) to evaluate polymer/resist surface properties compared with poly (2-methyl-2-adamantyl methacrylate-co-γ-butyrolactone methacrylate) (MAd) using contact angle and AFM measurements. The surface free energy of methyl acetal polymers decreased in the order OAdOM (55.2 mJm-1) > AdOM (46.5 mJm-1) > NBTFM (39.1 mJm-1) due to the mobility of methyl acetal groups. The advancing contact angles and receding contact angles were good correlation with the surface energy of polymer films. Furthermore, the surface roughness after development of methyl acetal resists (AdOM and OAdOM) is smaller than that of MAd resist.
    Download PDF (2093K)
  • Takao Motohyama, Yuya Agata, Junji Kido
    2006 Volume 19 Issue 5 Pages 663-667
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    We synthesized novel iridium complexes having a cyano and/or fluorine-containing phenylpyridine ligands for organic light emitting diodes (OLEDs). The optical properties of these complexes were investigated by ultraviolet-visible (UV-vis) absorption and photoluminescence (PL) spectrophotometer. The emission color ranges from blue to green depending on the position of substituent groups. One of the complexes, bis[(5-cyano-2-fluorophenyl)-pyridinato-N,C2'] (dipivaloylnate) iridium (III), with the substituent groups on 2 and 5 positions, showed a greenish blue emission peak at 476 nm. The OLED with a structure of glass substrate / indium-tin-oxide (ITO) / tetraphenyl-benzidine-containing poly (arylene ether sulfone) (TPDPES) doped with tris (4-bromophenyl) aminium hexachloro-antimonate (TBPAH) / 2,2'-Bis[3''-(N,N'-ditolylamino) phenyl]biphenyl (3DTAPBP) / iridium complex-doped 2,2'-Bis[4''-(N -carbazole)phenyl] biphenyl (4CzPBP) / 3-(4-biphenyl)-4-phenyl-5-(4-tert-butylphenyl)-1,2,4-triazole (t-Bu-TAZ) / lithium fluoride (LiF)/ aluminum (Al) exhibited the external quantum efficiency of 17 % and the power efficiency of 33 lm/W at 100cd/m2.
    Download PDF (1331K)
  • Yoshiyuki Oishi, Akihiro Konnno, Jan Oravec, Kunio Mori
    2006 Volume 19 Issue 5 Pages 669-672
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    Download PDF (1511K)
  • Hideyuki Kodama, Satoshi Iizumi, Masayuki Nakaya, Akira Shirakura, Ats ...
    2006 Volume 19 Issue 5 Pages 673-678
    Published: 2006
    Released on J-STAGE: September 06, 2006
    JOURNAL FREE ACCESS
    Amorphous carbon films were synthesized on top of polyethylene terephthalate (PET) substrates using atmospheric-pressure glow (APG) plasma CVD equipment which we originally designed and set up. Plasma was generated between two metal electrodes one of which was covered with a dielectric plate. By varying dielectric constant of the plate, the deposition rate of the carbon films was controlled. From the oxygen transmission test, the resulting PET coated with thin carbon films exhibited high gas barrier property. Using the material with high dielectric constant, we finally synthesized a nearly complete gas barrier films at the deposition rate of 15 sec.
    Download PDF (1790K)
feedback
Top