Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 20, Issue 5
Displaying 1-21 of 21 articles from this issue
  • Masamitsu Shirai
    2007 Volume 20 Issue 5 Pages 615-620
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Non-ionic photoacid generators (PAG) based on imino sulfonates and N-hydroxyimide sulfonates were designed and synthesized. To obtain the PAGs sensitive to 365 nm light, fluorenone oxime, thioxanthone oxime and N-hydroxyimide derivatives of thioxanthone were used as a chromophore. The PAGs obtained were thermally stable and highly photosensitive. A mechanism for the photolysis of the PGAs was discussed. Some of the thioxanthone-based PAGs were sensitive to 436 nm light. Photocrosslinking of poly(glycidyl methacrylate) using the PAG was also studied.
    Download PDF (435K)
  • Tomotaka Tsuchimura, Kazuto Shimada, Youhei Ishiji, Tetsunori Matsushi ...
    2007 Volume 20 Issue 5 Pages 621-625
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Triarylsulfonium compounds with relatively higher reduction potentials by introduction of electron withdrawing groups and expansion of π-electron resonance were newly designed and synthesized. These sulfonium compounds exhibited high acid generation efficiency, which were cationic polymerization ability, by electron transfer induced photo-sensitization with dyes. The thermal stability of these compounds was investigated from a practical standpoint, and also the influence of the stability by varying the counter anion was examined. Further, these sulfonium compounds were applied to radical promoted cationic polymerization and infrared-dye induced photo-sensitization.
    Download PDF (404K)
  • Ralph R. Dammel, M. Dalil Rahman, Douglas McKenzie, David Rentkiewicz, ...
    2007 Volume 20 Issue 5 Pages 627-635
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Photogenerated carborane superacids have been found to be effective as catalysts for chemically amplified resists in 193 nm and EUV. It was found unexpectedly that despite the documented high acid strength of the 1-carboranes, the photospeed of resist formulations containing them was significantly lower than that of corresponding perfluoro-substituted sulfonic acids. This behavior is attributed to the high stability of the intermediate carbocation/acid anion complex, which for perfluoroalkane sulfonates is a transition state but an isoable intermediate for the 1-carborane acids. The long residence time of the carborane acid catalyst in this intermediate reduces its availability and causes the lower photospeed; at the same time, this phenomenon leads to lower diffusion rates as a result of the immobility of the bulky intermediate complex. Coupled with the high transparency of carborane anions in EUV, it is expected that the use of carborane PAGs for EUV formulation optimization will offer new opportunities to escape the photospeed/resolution/LER triangle trade-off that has limited progress in EUV photoresists.
    Download PDF (1381K)
  • Hitoshi Yamamoto, Toshikage Asakura, Yuichi Nishimae, Akira Matsumoto, ...
    2007 Volume 20 Issue 5 Pages 637-642
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Oxime sulfonate compounds are one of the important chemistry as photoacid generator for advanced lithography application and practically used in mass production of semiconductor chips. This chemistry is adjustable for various applications like g-/h-/i-line, KrF and ArF lithography. This paper describes an overview of oxime sulfonate chemistry for semiconductor resist application, including commercially available products.
    Download PDF (685K)
  • Paul A. Zimmerman, Chris van Peski, Bryan Rice, Jeff Byers, Nicholas J ...
    2007 Volume 20 Issue 5 Pages 643-650
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Generation-three (Gen-3) immersion lithography can be an enabler for the 32nm half-pitch node. For Gen-3 lithography to be successful, however, there must be three major breakthroughs in materials development: high refractive index ("high-index") lenses, high-index immersion fluids, and high-index photo-resists. Currently a material for a high-index lens element, lutetium aluminum garnet (LuAG), has been identified. However, suitable materials choices remain elusive for both the Gen-3 fluid and resist. This paper reviews the successes and failures in the search for Gen-3 high-index materials.
    Download PDF (644K)
  • Harry Sewell, Jan Mulkens, Christian Wagner, Diane McCafferty, Louis M ...
    2007 Volume 20 Issue 5 Pages 651-663
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    A feasibility study is being conducted on the subject of extending the resolution capability of immersion lithography exposure systems with high-n fluids to 35nm and below. Fluids, for evaluation, are available from chemical vendor companies such as DuPont, Mitsui, and JSR. The new fluids have a refractive index of approximately 1.65. This makes it possible to design optics with a numerical aperture of 1.55, which is a 17% extension on the highest numerical aperture possible with water-based immersion lithography.
    The feasibility study has examined all the key factors associated with the possible introduction of high index immersion lithography. These aspects include: fluid handling challenges; UV exposure impact on fluid performance; fluid recycling to mitigate the effects of UV exposure; fluid interaction with resist; and the mechanisms involved in the generation of stains and imaging defects. Imaging tests using interference printing to define profiles in resist at 29nm L/S are reported.
    To complete the analysis, the progress in enabling developments such as final lens element optical materials is reported, and the potential position for the technology in the lithography roadmap is discussed.
    Download PDF (648K)
  • Andrew K. Whittaker, Idriss Blacey, Lan Chen, Bronwin Dagaville, Hepin ...
    2007 Volume 20 Issue 5 Pages 665-671
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    We have developed a Quantitative Structure Property Relationship (QSPR) model for predicting the refractive index of small molecules and polymers at 193 nm. This model has been useful for screening databases of compounds for high refractive index to include in our program of synthesis of polymer having high RI. A range of novel target structures were identified and prepared via free radical polymerization. In addition polymers were also synthesized via Michael addition polymerization. Preliminary dose-to-clear and imaging experiments identified a number of promising candidates for incorporation into high refractive index resist materials. The platforms presented may be limited by relatively high intrinsic absorbance, and so design limits for incorporation of sulfur are given.
    Download PDF (581K)
  • Akira Kawai, Kenta Suzuki
    2007 Volume 20 Issue 5 Pages 673-678
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Interaction acting between a micro tip and a micro bubble formed on a thin film surface of ArF excimer resist can be analyzed quantitatively by using atomic force microscope (AFM). The semi-sphere area of the observed bubble is approximately 25μm diameter and 0.72μm height. By approaching the AFM tip onto the bubbles in deionizad water, repulsive and attractive forces can be detected. These phenomena can be discussed on the basis of Laplace pressure. The AFM tip is more likely to indent into the bubbles compared with the resist film. The indentation analysis of the AFM tip is effective in order to identify the bubbles and to distinguish from other solid particles.
    Download PDF (635K)
  • Sean Burns, Martin Burkhardt, Dario Goldfarb, Naftali Lustig, Dirk Pfe ...
    2007 Volume 20 Issue 5 Pages 679-686
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Immersion technology is enabling the extension of 193 nm lithography by increasing the numerical aperture (NA) of the exposure system. The result is smaller depth of focus, subsequently requiring the use of thinner photoresists. Consequently, bottom antireflective coatings (BARCs) are required to have increased etch selectivity to thinner photoresists, as well as improved reflectivity control to minimize reflectivity from higher incident angles of hyper NA lens systems. A spin on trilayer patterning scheme has recently become a favorable approach to simultaneously address these issues.
    IBM and Shin Etsu have jointly developed a silicon containing BARC for the purpose of trilayer imaging. In this work, hyper NA imaging performance of the trilayer film stack is reported, at NA > 1.0. Full field, polarized 1.2 NA dipole imaging of line/space lithographic patterns is compared with both the trilayer imaging stack as well as a single layer, organic BARC stack. The process window of 1-D line/space structures was found to be significantly improved on the trilayer imaging stack. Improved profiles were observed on the trilayer film stack, with other lithography metrics showing comparable performance on both film stacks. Characterization of a solvent based rework scheme is presented for the trilayer imaging stack. Also, the chemical interaction between the silicon BARC and the photoresist was studied with an acid diffusion experiment. Within the detection limits of the experiment, it was found that acid diffusion from the silicon BARC is not a likely contributor to imaging performance.
    Download PDF (608K)
  • Deyan Wang, Stefan Caporale, Cecily Audes, Kap-Soo Cheon, Cheng Bai Xu ...
    2007 Volume 20 Issue 5 Pages 687-696
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    In immersion lithography the optical path between the lens element and the photoresist is currently water. Defects have been identified as a major roadblock for the introduction of immersion lithography to real device manufacturing. To address these immersion specific defect issues we have developed a novel additive approach for controlling the resist surface and it's interaction with water.[1] These additives have been designed to segregate within the resist film and migrate to the resist surface. Due to the high local concentration of these additives at the resist/water interface they create a very hydrophobic surface and allow control of surface properties. Data will be presented on this novel concept, illustrating the control of leaching and resist surface hydrophobicity. The use of this new technique allows control of leaching, resist surface contact angles and immersion specific defects.
    As critical dimensions (CDs) in the semiconductor industry shrink, pattern collapse becomes a problem. We classify pattern collapse into three classes: adhesion failure, pattern strength failure and pattern film loss failure, and relate these to the capillary forces which drive failure. In this paper, the correlation of PCM with Ψ (carbon heteroatom ratio) of polymer composition, resist profile, PEB temperature and time are discussed. Finally, mechanistic understanding of pattern failure and subsequent correlations gives us the tools to design advanced resist with excellent PCM.
    Download PDF (965K)
  • D. J. Abdallah, D. Mckenzie, A. Timko, A. Dioses, F. Houlihan, D. Rahm ...
    2007 Volume 20 Issue 5 Pages 697-705
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    New challenges face ArF bottom antireflection coatings (BARCs) with the implementation of high NA lithography and the concurrent increase use of spin-on hard masks. To achieve superior reflectivity control with high NA at least two semi-transparent ARC layers, with distinct optical indices, are necessary to effectively lower substrate reflectivity through a full range of incident angles. To achieve successful pattern transfer, these layers and the organic resist should stack with an alternating elemental composition to amplify vertical resolution during etch. This will circumvent the inherent low etch resistance of ArF resist and the decreasing film thicknesses that accompanies increasing NA. Thus, incorporating hard mask properties and antireflection properties in the same two layer system facilitates pattern transfer as a whole rather than just enhancing lithography. As with any material expected to exhibit multiple roles there is a delicate balance between optimizing materials with respect to one of its roles while not impairing its others. We will discuss some of these conflicts and present Si-BARCs and carbon rich underlayers which aim to balance these conflicts. In this paper we will explore simulations aimed at finding the best film thicknesses and optical indices, etch rate selectivity, and lithographic performance of high silicon content and high carbon content BARC materials designed to meet the demands of both high NA lithography and trilayer processing.
    Download PDF (483K)
  • Jeffrey Byers, Saul Lee, Kane Jen, Paul Zimmerman, Nicholas Turro, C. ...
    2007 Volume 20 Issue 5 Pages 707-717
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Double patterning and double exposure techniques have been proposed as possible methods for reducing half pitch resolution below k1=0.25. Both methods have the potential to reduce the theoretical lithographic half pitch to k1=0.125. Double patterning is a process-intensive method that requires multiple coat, develop, and etch steps to achieve the low k1 imaging. Double exposure processes have been proposed that do not require multiple coat, develop, or etch steps. Potentially, double exposure processes will have a lower cost of ownership that double patterning. However, double exposure materials have not yet been proven to work experimentally. Before applying significant effort to develop double exposure materials, their feasibility can be determined using rigorous simulation techniques. This work presents a feasibility study of four types of double exposure materials and their potential process windows.
    Download PDF (759K)
  • Murirathna Padmanaban, Srinivasan Chakrapani, Guanyang Lin, Takanori K ...
    2007 Volume 20 Issue 5 Pages 719-728
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Novel diamantine monomers, 3-methyl-3-diamantyl methacrylate (MDiMA), 3-ethyl-3-diamantyl methacrylate (EDiMA), and 9-hydroxy-4-diamantyl methacrylate (HDiMA) were synthesized starting from diamantane. Free radical polymerizations of these monomers along with lactone monomers such as γ -butyrolactone methacrylate (GBLMA) were carried out with a goal of obtaining polymers for photoresist applications. Due to their low Ohnishi number and incremental structural parameter (ISP), these diamantane containing polymers are expected to enhance dry etch stability when incorporated into resist formulations. This paper reports the synthesis, characterization and physical properties of MDiMA, EDiMA, HDiMA monomers, some of the polymers made using these monomers for resist applications. In addition, resist performance and etch results of some of the resists made using the diamantane containing polymers are included.
    Download PDF (728K)
  • Hoang Tran, Roger H. French, Douglas J. Adelman, Jerald Feldman, Weimi ...
    2007 Volume 20 Issue 5 Pages 729-738
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Optical immersion lithography using fluids with refractive indices greater than that of water (1.44) can enable numerical apertures of 1.55 or above for printing sub-45 nm lines. We continue to work on delivering second generation high index immersion fluid technology to extend immersion lithography. Several fluids have been optimized to meet the required specifications of refractive index (n ≥ 1.64) and absorbance (< 0.10 / cm) at 193 nm. Here, we report on recent processing studies on two of our leading fluid candidates, designated IF132 and IF169. We are developing methods to ensure reproducible, low-absorbance fluid with low particle counts. We have also studied fluid changes on exposure to 193nm laser irradiation, and have quantified both photobleaching and photodarkening processes as a function of a number of fluid and process parameters. Through the development of a Standard Stepper Tool model, we are able to correlate our test system results to those expected in a commercial exposure system. Finally, studies of fluid-resist interactions - including PAG leaching measurements, resist thickness changes, and resist surface residues and defects - are providing valuable information on fluid compatibility with 193nm photoresists, and the effects of fluid purity on such interactions.
    Download PDF (1311K)
  • Takashi Yamashita, Mutuki Ogawara, Hiroshi Koshikawa, Yasunari Maekawa
    2007 Volume 20 Issue 5 Pages 739-742
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Electron beam reaction induced refractive index change of several polyimides, which are one of the most thermo stable polymers. Introducing aliphatic amine into polyimide makes the polymer transparent and colorless in the visible wavelength region due to restriction of charge transfer, as well as increase in the sensitivity to electron beam induced reaction. One of the aliphatic polyimide, PI(HPMDA/mix-DCHM), prepared form cyclohexane tetracarboxylic anhydride and diaminodicyclohexylamine, change the refractive index as large as 10-3 for both TE and TM modes. Chemical reaction during the EB irradiation was also determined as electron beam induced aromatization occurred.
    Download PDF (284K)
  • Takashi Yamashita, Toru Miura
    2007 Volume 20 Issue 5 Pages 743-746
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Polyamide acids (PAA) were prepared from aromatic dianhydrides and aliphatic diamines, which ordinary give high molecular weight polymer due to salt formation during polymerization. Addition of tertiary amines to the PAA solution improves the polymerization reaction due to restriction of the salt formation. Resulting polyimide (PI) was photoirradiated in the presence of tetraphenylporphirine (TPP) as electron donor which transferred electrons to PI, since the partially aliphatic polyimide consists of pyromellitic moieties as strong electron acceptor. The active species generated during the electron transfer process was identified as radical cation of TPP by the laser flash photolysis, whose lifetime is much higher than that in solution. The charge separation by the stabilization of the radical cation is due to strong electron affinity of pyromellitic anhydride moieties of transparent polyimides.
    Download PDF (244K)
  • Takashi Yamashita, Yosuke Nakatoh
    2007 Volume 20 Issue 5 Pages 747-750
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Chemically amplified reaction was performed with combination of PAG, Rhodamine B base as environmental chromic dye and a distyrylarene derivative DBASB as two photon absorption dye. Energy transfer from the DBASB to PAG has occurred to generate an acid, which added to Rhodamine B to increase absorption at 560nm, while decrease in the absorption at 429nm also observed.
    Download PDF (377K)
  • Mingxing Wang, Wang Yueh, Kenneth E. Gonsalves
    2007 Volume 20 Issue 5 Pages 751-755
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Three novel polymeric anionic and one cationic photoacid generators(PAGs), as well as corresponding PAG bound polymers, were prepared in moderate to good yield and characterized. As for the lithographic properties, the anionic PAG bound polymers showed improved resolution and photospeed than the cationic PAG bound polymer. Especially, the fluorine PAG bound polymer resist HS-EA-PAG(c) showed high photospeed and improved resolution than other PAG bound polymer. Therefore, it should be potential for these new PAG and PAG bounder polymers to be applied to EUV lithography.
    Download PDF (364K)
  • Hiroyuki Igarashi, Tsutomu Igarashi, Minoru Sagawa, Toru Mori, Yuzo Ko ...
    2007 Volume 20 Issue 5 Pages 757-762
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Novel lophine dimer (hexaarylbiimidazole, HABI) containing a hydrophilic oligo-ethylene glycol group was prepared as a radical initiator. Absorption of a lophyl radical generated by photo dissociation of the lophine dimer was observed around 600nm by photo irradiation at 254nm. Electron beam (EB) pulse radiolysis of the lophine dimer suggested generation of lophyl radical by EB irradiation similarly, showing that the material is also applicable to electron beam lithography. The lophine dimmer showed excellent stability in developing solution and high resolution due to introduction of hydrophilic substituent.
    Download PDF (381K)
  • Takashi Yamashita, Kuniyoshi Ishii, Mayu Hasegawa
    2007 Volume 20 Issue 5 Pages 763-766
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Free volume distribution of poly (methyl methacrylate) was determined with a photo-reactive probe technique. Fairly large part of the free volume in PMMA has been found to be the more reactive than the reaction in solution using azobenzene as the photochromic probe, while there is some free volume fraction where quantum yield of photoreaction is restricted to zero. Information on the bulk region is clearly observed using a novel photochromic probe, which is obtained by connecting azobenzene to PMMA polymer chain. Effect of polymer relaxation on the quantum yield distribution shows contrast between azobenzene and polymer-connected azobenzene, which reflects the information on vacant or bulk region, respectively. Information on the free volume where the space is crowed by the probe molecule is also obtained by using azobenzene dimer as the photo reactive probe, showing the decrease in the photoreactivity and homogenous quantum yield distribution.
    Download PDF (166K)
  • Toru Yamaguchi, Hiroshi Yamaguchi
    2007 Volume 20 Issue 5 Pages 767-769
    Published: 2007
    Released on J-STAGE: September 18, 2007
    JOURNAL FREE ACCESS
    Download PDF (402K)
feedback
Top