Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 16, Issue 4
Displaying 1-22 of 22 articles from this issue
  • Munirathna Padmanaban, Clement Anyadiegwu, Takashi Kanda, Woo-Kyu Kim, ...
    2003 Volume 16 Issue 4 Pages 475-481
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Control of the critical dimension (CD) during photo lithographic process is becoming more and more critical as the CD size decreases and wafer size increases. Among other factors, PEB (post-exposure bake) sensitivity [ΔCD(nm) /ΔTemp(°C)] of photoresists plays an important role in achieving uniform CD. ArF resists are the preferred materials for the critical layers in the 90 and 65 nm nodes where in the CDs are less than 100 nm. The influence of resist components such as type of PAG, solvent, and blocking ratio as well as the processing conditions were investigated in the ArF resist formulations. It was observed that almost all the resist components influence the PEB sensitivity. Use of bulky and long chain sulfonic acids such as perfluorooctane sulfonic acid, low blocking ratio and low boiling solvents reduce the PEB sensitivity but at the expense of other resist properties such as resolution and line-edge roughness (LER). As expected the cation type of the photoacid generators (PAGs) did not show any effect on the PEB sensitivity. On the processing side, lowering PEB temperature and increasing soft bake (SB) temperature help improve the PEB sensitivity but the LER becomes worse. Results of these studies along with the performance of some of the optimized ArF formulations are presented.
    Download PDF (1638K)
  • Ting-Yu Lee, Chao-Ying Yu, Meei-Yu Hsu, R. Hayashi, T. Iwai, Jian-Hong ...
    2003 Volume 16 Issue 4 Pages 483-487
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    One of the major components of a photoresist formulation is polymer resin. Many resin types including COMA, VEMA, CO and acrylates are being used in 193 nm-photoresist formulation. A set of promising resin candidates for 193 nm lithography consists of acrylate and methacrylate polymers, which have excellent absorption characteristics at 193 nm region. We have developed controlled radical polymerization (CRP) method to control the molecular weight as well as the composition of the acrylate & methacrylate copolymers over a wide range of monomer choices for the 193 nm-photoresist formulation. In this study, we prepared a pure acrylate-type copolymer with low molecular weight distribution (PDI∼1.2) and investigated it for line-and-space lithography.
    Download PDF (544K)
  • Takashi Hattori, Yoshiyuki Yokoyama, Kaori Kimura, Ryoko Yamanaka, Tos ...
    2003 Volume 16 Issue 4 Pages 489-498
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    We have been developing negative-tone resist systems utilizing an acid-catalyzed intramolecular esterification of γ- and δ-hydroxy acid for ArF phase-shifting lithography. In this paper, α-acryloyloxy-β, β-dimethyl-γ-butyrolactone (DBLA), adamantane lactone acrylate (AdLA), and norbornane lactone acrylate (NLA) were examined as a precursor of hydroxy acid. It was found that AdLA and NLA are not hydrolyzed into hydroxy acid under an alkali hydrolysis condition. DBLA was found to produce γ-hydroxy acid, which is stable in the resist solution. The γ-hydroxy acid derived from DBLA becomes γ-lactone relatively easily by an acid-catalyzed reaction and can be used to make resists insoluble. Since the variation and the flexibility of the copolymer composition of the base polymer can be increased, the resist properties are controllable and the pattern quality can be improved by utilizing γ-hydroxy acid derived from DBLA.
    Download PDF (1541K)
  • Hyun-Woo Kim, J. Hong, Myung-Ho Jung, Sang-Gyun Woo, Han-Ku Cho, Woo-S ...
    2003 Volume 16 Issue 4 Pages 499-505
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    The advent of 193 nm ArF lithography opened new era of sub-90 nm patterning in DRAM industry. ArF lithography in single layer scheme, however, has limitation in the substrate fabrication of sub-90 nm L/S due to the decreased physical thickness of resist less than 3000Å and weak chemical structure of resist. Bi-layer scheme, composed of Si-containing top layer and thick organic bottom layer, is gaining attention for its capability of patterning and control of resist thickness as a substitute for single layer. Several resists were evaluated for bi-layer process in terms of resolution, dry development, bottom layer durability and SEM induced CD shrinkage. Resolution down to 80 nm was achieved with Si content ranging from 8 to 9%. Etch selectivity in the dry development was a strong function of Si content and chemical structure of top layer with pitch size dependence based on O2/N2 gas chemistry in dual frequency plasma tool. Profile control after dry development was subject to change depending on the gas ratio (O2/N2) and power. Resist structure was proved to be a key factor in bottom resist durability at the substrate etch condition. Best combination of top and bottom resists in bi-layer scheme will be discussed.
    Download PDF (1714K)
  • Mamoru Terai, Toshiyuki Toyoshima, Takeo Ishibashi, Shinji Tarutani, K ...
    2003 Volume 16 Issue 4 Pages 507-510
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Download PDF (835K)
  • Kazuhiro Takeda, Hirokazu Asahara, Tetsurou Hanawa, Kouichirou Tsujita ...
    2003 Volume 16 Issue 4 Pages 511-516
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    In order to make the enhancement of dry etching durability of methacrylate-based ArF resists induced by VUV curing consistent with low film shrinkage, i.e., less CD deviation, characteristics of several methacrylate-based polymers were studied. It was found that the film shrinkage mainly depended on the side chain structures and polymers containing acrylate group in main chain and norbornane lactone group in side chain portion showed dry etching resistance equal to commercially available KrF resists with lower film shrinkage than methacrylate-based ArF resists by VUV curing and suitable for the process. Curing reaction mechanism of methacrylate-based polymers were also studied using an infrared micro spectrometry with gradient shaving preparation. It was confirmed that the linkage radiolysis around carbonyl sites, mainly lactone portion of methacrylate-based ArF resist polymers induced by 15sec VUV light irradiation proceeded from the film surface to the interface with the substrate. VUV curing less than 1 minute was noticed to be effective for improvement in methacrylate-based ArF resists performance and might be met with the process tact time required for mass production phase.
    Download PDF (1001K)
  • Ryoko Yamanaka, Yasushi Gotoh, Toshihiko Tanaka
    2003 Volume 16 Issue 4 Pages 517-522
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Spectroscopic ellipsometry was used to evaluate the surface roughness of dry-etched resists as a simple form of non-destructive analysis. The resist film was analyzed with two kinds of multi-layer models. The dry-etched resist was estimated to have a bulk region and a surface region in which the refractive index decreased closer to the top surface. The 2-layer model was useful in comparing the surfae roughness among various resists. Results of this method are consistent with that of AFM analysis and SEM inspection.
    Download PDF (850K)
  • H. Ito, H.D. Truong, M. Okazaki, R.A. DiPietro
    2003 Volume 16 Issue 4 Pages 523-536
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Various new fluoropolymers have been prepared for use in 157 nm lithography in the last few years. While several different backbone structures are available, what is common to all these 157 nm polymers is the exclusive use of hexafluoroisopropanol (HFA) as an acid group. The major effort has been placed on reduction of the absorption at 157 nm. In addition, we focused our attention on the hydrophobicity-hydrophilicity balance and understanding of the interaction of the HFA moiety with other functional groups and the dissolution behavior of the HFA polymers. Initially, we developed four platforms; a) all-acrylic, b) all-norbornene, 3) aliphatic, and 4) aromatic systems. The all-acrylic system based on 2-trifluoromethylacrylic monomers was meant to be for tool-testing and the all-norbornene system based on norbornene with pendant HFA (NBHFA) has been primarily engineered for 193 nm application (COBRA 5000). Since the aromatic system (PF2-ESCAP) based on a copolymer of t-butyl 2-trifluoeomethylacrylate (TBTFMA) with styrene bearing HFA (STHFA) has a rather high absorption of 3.2/μm, our major development effort has been directed to the aliphatic system based on a copolymer of TBTFMA and NBHFA or vinyl ether. New copolymers have been prepared by radical copolymerization of TBTFMA with vinyl ethers bearing HFA, resulting in lower absorption and lower/controllable glass transition temperatures. In addition to the new copolymers with polar vinyl ethers, the dissolution behavior and hydrogen bonding interaction of HFA polymers are described.
    Download PDF (1956K)
  • Shinji ANDO, Mitsuru UEDA
    2003 Volume 16 Issue 4 Pages 537-544
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Time-dependent density functional theory (TD-DFT) calculations using the B3LYP hybrid functional were performed to predict transparencies of alicyclic and heterocyclic compounds in the vacuum ultraviolet (VUV) region. Model compounds of conventional and novel polymer platforms for F2 lithography (157 nm) are devoted for calculations, and the calculated spectra demonstrate very low absorbance of highly fluorinated alicyclic and heterocyclic compounds and also show the effectiveness of introduction of -F and -CF3 groups in reducing the optical absorption at the wavelength. In particular, devised fluorination, such as -CF3 in close proximity of light-absorbing ester functionality, can significantly reduce the absorption of polymer platforms containing norbornane, γ-lactone, and δ-lactone strcutures.
    Download PDF (1463K)
  • Masamitsu Shirai, Shinichi Takashiba, Masahiro Tsunooka
    2003 Volume 16 Issue 4 Pages 545-548
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Download PDF (367K)
  • A.M. Goethals, P. De Bisschop, J. Hermans, R. Jonckheere, F. Van Roey, ...
    2003 Volume 16 Issue 4 Pages 549-556
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    157 nm lithography is currently considered as the main technology for the manufacturing of critical 65 nm node layers and beyond. After a number of potential show stoppers of 157 nm have been removed in the last three years, the final phase of development will now start based on the first full-field step and scan exposure systems. This paper describes the status and progress of the IMEC 157 nm program, that is aiming to remove the remaining 157 nm engineering challenges. The first full field scanner (ASML Micrascan VII) is currently under installation at IMEC. The investigation on a number of full-field issues already started. Results on 157 nm resist full field patterning, on reticle handling including vacuum ultra violet cleaning, and on hard pellicle printing are discussed in this paper.
    Download PDF (2678K)
  • Takuya Hagiwara, Shigeo Irie, Toshiro Itani, Yasuhide Kawaguchi, Osamu ...
    2003 Volume 16 Issue 4 Pages 557-564
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Fluoropolymers are key materials for the single-layer resists used in 157-nm lithography. We have been studying fluoropolymers to determine their potential for use as the base resin. We developed a polymer that has high transmittance and high dry-etching resistance by attaching the cyclohexylcyclohexyloxymethyl (CCOM) blocking group to a monocyclic fluorinated polymer. The dry-etching rate of the 32 % blocked polymer was 1.5 times that of a KrF resist and its absorption coefficient at a 157-nm exposure wavelength was 1.1 /μm. The polymer with various photoacid generators (PAGs) was compared with the patterning profile and we found that the shape of the resist pattern depended on the ΔH, which was the change in enthalpy before and after the PAG generated acid. ΔH is related to acidity and triphenylsulfonium nonaflate has one of the highest acidity in the PAGs. The polymer with triphenylsulfonium nonaflate resolved a 55-nm line and space pattern. We also investigated the relation between the dissolution characteristics, transmittance and molecular weight of the PAG. We found that as the PAG’s molecular weight was low, maximum dissolution rate (Rmax) tended to become high and transmittance tended to become high. For onium salts, in particular, as the anion’s molecular weight of the PAG was low, transmittance tended to become high, and as the cation’s molecular weight of the PAG was low, Rmax tended to become high. These are guidelines in choosing a PAG.
    Download PDF (1884K)
  • Shigeo Irie, Masato Shigematsu, Rikimaru Sakamoto, Kenichi Mizusawa, Y ...
    2003 Volume 16 Issue 4 Pages 565-572
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    In 157-nm lithography, an organic bottom-antireflective-coating (BARC), which has been mainly used as an antireflective technology in KrF or ArF lithography, is needed to reduce reflection from the substrate under the resist. To apply a conventional BARC to 157-nm lithography, the BARC thickness must be less than that used in KrF or ArF lithography. This is because conventional BARCs have a lower dry-etching rate than resists with a fluorinated polymer resist for 157-nm lithography and the thickness of the remaining resist after BARC dry-etching may be greatly reduced. Moreover, the substrate reflection under a conventional thin BARC cannot be completely controlled since the k-value of the extinction coefficient at a 157-nm wavelength is small. Therefore, a BARC material for 157-nm lithography must have a higher k-value at the 157-nm wavelength, a higher dry-etching rate than resists with a fluorinated polymer, good matching between the fluorinated resist and the BARC material to ensure a good resist pattern shape, and low outgassing from the BARC material during exposure. In this paper, we evaluate newly developed BARC materials for 157-nm lithography. We found that the k-value of one new BARC material (NCA660) was 0.41 (1.6 times that of a conventional BARC (DUV30J; Brewer Science, Inc)), and the ratio of the dry-etching rate to that of a KrF resist was 2.0 (twice that of DUV30J). These improvements were achieved by introducing a chromophore containing an I-atom of halogen into a heterocyclic polymer. Furthermore, the film thickness loss (used to evaluate outgassing from this BARC material when irradiated by 157-nm light) was close to 0 nm (irradiation condition: 100 mJ/cm2), and a resist pattern with no footing was obtained in four typical kinds of fluorinated resist on this BARC material. We concluded that this BARC material was suitable for 157-nm lithography.
    Download PDF (1395K)
  • Vladimír Jakúbek, Xiang-Qian Liu, Vaishali R. Vohra, Kat ...
    Article type: scientific monograph
    Subject area: Infomation Science
    2003 Volume 16 Issue 4 Pages 573-580
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Several strategies were employed to improve the transparency and etch resistance of the acrylate-based 157 nm photoresists. (1) α-Fluorinated acrylates were synthesized and polymerized using radical initiation. The homopolymer of 2-[4-(2-hydroxy-hexafluoroisopropyl)cyclohexane]hexafluoro isopropyl-α-monofluoroacrylate (FA) showed high transparency at 157 nm (A = 1.7 μm-1), and its copolymer with norbornene hexafluoroalcohol and α-fluoro-tert-butylacrylate shows good 248 nm lithographic performance. (2) Selected acrylates containing hexafluoroisopropyl groups and hydrogenated single ring and multi-ring systems were prepared to address etch resistance. Homopolymers of acrylic versions of FA with different alicyclic moieties such as 1,3-cyclohexane, hydrogenated diphenyl ether and decaline showed very good transparency at 157 nm (A = 1.8 μm-1, 2.4 μm-1, 2.6 μm-1, respectively). Tg values for these homopolymers were determined to be in the range of 91-95°C. (3) The POSS group was also used to improve etch resistance. POSS-containing non-fluorinated acrylate copolymers showed absorbances of 3.0-3.3 μm-1 at 157 nm. POSS containing α-trifluoromethylacrylate polymers are expected to have lower absorbance. (4) To utilize an alternating copolymerization scheme, new fluorinated monomers containing both electron-rich and electron-deficient double bonds in one molecule were synthesized. The monomers were designed to undergo cyclopolymerization to generate polymers for improved transparency, etch resistance and outgassing properties.
    Download PDF (1185K)
  • Francis Houlihan, Andrew Romano, David Rentkiewicz, Raj Sakamuri, Ralp ...
    Article type: scientific monograph
    Subject area: Infomation Science
    2003 Volume 16 Issue 4 Pages 581-590
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    As part of a new generation of more transparent 157 nm resist platforms we are developing, a novel resist system is described that has higher transparency and contrast than AZ®FXTM 1000P. Using a new protecting group strategy, encouraging results have been obtained with both poly(α,α-bis(trifluoromethyl)bicyclo[2.2.1]hept-5-ene-2-ethanol) and a more transparent perfluorinated resin (TFR). These new resist systems show absorbance values as low as 1 μm-1 at 157 nm, have twice the contrast (i.e., 12 instead of 7) of AZ®FXTM 1000P, and have neither significant dark erosion nor do they switch to negative tone behavior within the dose range studied. The dry etch resistance of the TFR platform is found to be superior to a Standard DUV resist for polysilicon but somewhat lower for oxide etches. Features as small as 50 nm lines and spaces were resolved for slightly relaxed pitches (1:1.5 micron). By adjusting the base level it is possible to improve the photospeed by a factor of more than 10 while still maintaining a resolution of 70 nm L/S features.
    Download PDF (2161K)
  • Raymond J. Hung, Takashi Chiba, Haruo Iwasawa, Akihiro Hayashi, Noboru ...
    2003 Volume 16 Issue 4 Pages 591-594
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Lowering absorbance of functional SSQ resists at 157 nm continues to be a challenging task. In the previous report, we showed the absorbance data and lithographic performance of several different SSQ platforms developed at JSR [1]. Two new SSQ platforms (σ and π) which showed improved absorbance value were reported in this article. New σ type and π type resist showed 157 nm absorbance value of 1.76 μm-1 and 1.62 μm-1 respectively. The absorbance value of π type polymer was as low as 1.19 μm-1. These improved resists also showed great lithographic properties. σ type resist is capable of resolving 55 nm 1:1 L/S with square profile using 0.85 NA tool. Integration aspects of this bilayer system were also presented.
    Download PDF (1060K)
  • Shinichi Kanna, Kazuyoshi Mizutani, Shoichiro Yasunami, Yasumasa Kawab ...
    2003 Volume 16 Issue 4 Pages 595-600
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Resist materials for 157 nm lithography is believed to be one of the key technology for producing patterns below 70 nm. Many different types of fluorine-containing polymer platforms have been energetically pursued by a number of researchers, and some of them appear to be promising in giving a high transparency that has been the essential challenge in realizing this technology. One of the polymer platforms that the authors believe useful for 157 nm is the polymer having hexafluoroisopropanolstyrene (HFIPS) monomer unit in their backbones. The lithographic potential of the HFIPS-based polymer system was demonstrated with the fact that a prototype resist from this system was able to print a 75 nm line and space 1:1 pairs with an attenuated PSM under 0.60 NA stepper exposure. The paper will also discuss etch resistance of the HFIPS-based polymer system. It is generally thought that etch rate of resist films are mainly affected by their polymer compositions or structures but there are few reported on the influence of the other components in resist formulation. The authors found that the concentration of PAG and quencher influenced both etch rate and resist surface roughness after the etch in this materials system, which implied there are some more room for further etch resistance improvement.
    Download PDF (1368K)
  • H. Iimori, S. Ando, Y. Shibasaki, M. Ueda, S. Kishimura, M. Endo, M. S ...
    2003 Volume 16 Issue 4 Pages 601-605
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    A new photoresist for 157 nm lithography on the basis of poly[(2-hydroxy-3-pinanyl vinyl sulfonate)-co-4-(1, 1, 1, 3, 3, 3-hexafluoro-2-hydroxypropyl)styrene] [poly(VSO3Pina73-co-HFISt27)] and triphenylsulfonium perfluoro-1-butanesulfonate (TPS-Nf) as a photoacid generator (PAG) has been developed. Poly(VSO3Pina-co-HFISt)s were prepared by free radical polymerization of VSO3Pina with HFISt. The photoresist consisting of poly(VSO3Pina73-co-HFISt27) and 4 wt% TPS-Nf showed a sensitivity of 10 mJ cm-2 and a contrast of 6, when it was exposed to 157 nm laser and developed with 0.6 wt% aqueous tetramethylammonium hydroxide (TMAH) solution at 25 °C. A fine positive image of 140 nm line and space patterns was printed in a film, which was exposed to 15 mJ cm-2 by a contact printed mode. The resist film showed an optical density (OD) value of 3.6 μm-1 at 157 nm wavelength.
    Download PDF (737K)
  • M. Toriumi, T. Ishikawa, T. Kodani, M. Koh, T. Moriya, T. Araki, H. Ao ...
    2003 Volume 16 Issue 4 Pages 607-613
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Tetrafluoroethylene-based copolymers with functional norbornenes were synthesized and their fundamental properties, such as transparency at 157 nm and solubility in a standard alkaline developer, were characterized. A high transparency, i.e., absorbance of less than 0.5 μm-1, was achieved by optimizing the polymerization conditions with a variety of functional norbornene monomers. Positive-working resists formulated by the fluororesins were developed and showed good transparency of less than 1 μm-1 at 157 nm, and good developability without any swelling behavior in a standard alkaline solution of 0.26-N tetramethylammonium hydroxide, and an acceptable dry-etching resistance as good as ArF resists. And fine patterns of 65-nm dense lines and spaces could be delineated by the exposure at 157-nm wavelength.
    Download PDF (940K)
  • Yoshihiko Hirai, Takashi Yoshikawa, Nobuyuki Takagi, Satoshi Yoshida, ...
    2003 Volume 16 Issue 4 Pages 615-620
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Mechanical property of a polymer over glass transition temperature is an important factor to design process conditions in nano imprint lithography or nano embossing technology. Mechanical properties such as shear modulus, retardation time and viscosity are experimentally evaluated for poly methyl methacrylate (PMMA) for various molecular weights (12 k to 996 k) over 100°C. Also, dependency on the shearing strain rate is evaluated using WLF law. Based on the results, process conditions are discussed for nano imprint lithography and experimentally demonstrated high aspect ratio pattern.
    Download PDF (1111K)
  • C. Grant Willson, Brian C. Trinque
    2003 Volume 16 Issue 4 Pages 621-627
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    Underlying the rapid growth of the semiconductor field is a significant advancement in the materials utilized in the photolithographic process. In order to produce smaller devices, exposure wavelengths are decreased. Each wavelength reduction has demanded the synthesis of novel materials that are not only transparent at the new wavelength, but also meet all of the requirements of an effective resist polymer. The transition from 248 nm exposures to 193 nm precluded the use of aromatic functional groups, and the recent drop to 157 nm exposure has inspired a large amount of effort toward the development of resist materials containing fluorine. The Semiconductor Industry Association (SIA) roadmap predicts the use of extreme ultra-violet (EUV) radiation as the next move for the industry, but the high cost and high energy associated with this technology may make alternative lithographic technologies seem more practical.
    Download PDF (1873K)
  • Murrae Bowden, Sanjay Malik, Stephanie Dilocker
    2003 Volume 16 Issue 4 Pages 629-636
    Published: 2003
    Released on J-STAGE: December 03, 2004
    JOURNAL FREE ACCESS
    The bilayer approach offers advantages associated with thin film imaging, such as relaxation of absorption and aspect ratio requirements along with improved depth of focus, line width control and etch resistance. The introduction of copper metallization into semiconductor manufacturing using Dual Damascene processes has provided a platform for bilayer resist rapid market growth. In the past couple of years, the demand for bilayer technology has significantly increased as limitations for single layer resist become tested and known. This trend is expected to continue as lithography transitions to 157 nm. This paper discusses the advantages of bilayer processing in addressing the challenges associated with these emerging applications with specific application to emerging 157 nm bilayer resist technology.
    Download PDF (1436K)
feedback
Top