Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 22, Issue 3
Displaying 1-32 of 32 articles from this issue
  • Tomoko Gowa, Naoyuki Fukutake, Yoshimasa Hama, Kentaro Hizume, Takashi ...
    2009 Volume 22 Issue 3 Pages 273-278
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    A compact soft X-ray source via inverse Compton scattering has been developed at Waseda University. The energies of the generated X-rays are within water window region (250 - 500 eV) and development of a soft X-ray microscope is expected which can get the elemental mapping of carbon and/or nitrogen without dehydration. We have studied to develop a high resolution soft X-ray imaging system with photo resists for nanoscale observation. However, the yield of generated X-rays had been too small for the practical use of the soft X-ray microscopy. To enhance the X-ray yield, we have upgraded the generation system and succeeded in increasing the detected photons 10-fold. Total generated photons were estimated to be over 1.5E+5 photons/s. Also, supersensitization of photo resists has been attempted to reduce the required X-ray amount. By irradiating quasi-monochromatic X-rays in the water window region from synchrotron radiation at BL12 of the SAGA-LS, the sensitivity of a deep-UV photo resist, TDUR-P722 (Tokyo Ohka Kogyo Co., Ltd) was evaluated. After UV light (including 254 nm) exposure up to the sensitivity threshold and baking as PEB process, it was found that the resist becomes more sensitive. This UV pre-exposure method reduced the required 400 eV X-ray amount by over 65 %.
    Download PDF (465K)
  • Hiroyuki Matsumoto, Mikihiko Matsuoka, Tatsuyuki Iwasaki, Shinobu Kino ...
    2009 Volume 22 Issue 3 Pages 279-284
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    A novel trial based on a quartz crystal microbalance (QCM) sensor with various polymer thin-films prepared by physical deposition methods was undertaken to realize a quantification monitor for the active oxygen that is generated under surface treatment processes. Active oxygen, specifically atomic oxygen radicals under inductively coupled oxygen plasma, was successfully monitored using the polytetrafluoroethylene (PTFE), polyimide, and carbon coated QCM. This study also discusses the optical emission spectroscopy of the oxygen plasma and the distribution of atomic oxygen radicals inside the process chamber using a numerical simulation.
    Download PDF (535K)
  • June Park, Kazuyuki Enomoto, Takashi Yamashita, Yasuyuki Takagi, Katsu ...
    2009 Volume 22 Issue 3 Pages 285-287
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (359K)
  • Shigeru Takahara, Naoto Nishizawa, Tomoaki Tsumita
    2009 Volume 22 Issue 3 Pages 289-294
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    In the anthracene derivatives (XA) /an oxime type photoacid generator (PAG), (Z,E)-2-(4-methoxy-phenyl)-2-[(4-methylphenyl-sulphonyl)oxyimino]-acetonitrile (PAIOTos) photoinitiating system to give high photosensitivities, radical cations XA.+ were directly observed. It clearly supports that the sensitization mechanism is the singlet electron transfer from XA to the PAG. The XA/PAIOTos system gave higher sensitivity than PAIOTos did in a photopolymer materials.
    Download PDF (519K)
  • Emiko Oota, Makoto Kaji, Mika Abe, Osamu Hirai
    2009 Volume 22 Issue 3 Pages 295-300
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Acrylate-vinyl ether copolymerization was analyzed by real-time FTIR, 1H and 13C NMR, confirmed that vinyl ethers were readily polymerized with acrylates in radical mechanism. Polymerization process of each monomer was monitored independently by real-time FTIR. While acrylate conversion was close to 100 %, vinyl ether conversion decreased as vinyl ether fraction in formulation increased. Conversion and copolymer compositions were determined by 1H NMR. It was found that any continuous vinyl ether sequences was not produced as a result of sequence analysis by 13C NMR as well as real time FTIR kinetics. 13C NMR study also indicated that propagating acrylic terminal was more reactive with vinyl ether monomer, than with acrylate.
    Download PDF (851K)
  • Ichiro Enomoto, Yosuke Katsumura, Hisaaki Kudo
    2009 Volume 22 Issue 3 Pages 301-304
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    The simulation of the depth profiles of low-energy electron beams was carried out using the electron gamma shower (EGS) Monte Carlo code. The model of the electron beam accelerator consists of a titanium foil, nitrogen gas layer, and target materials, and irradiation was performed with electron beams of energy from 100 to 1000 keV. The electron beam of 100 keV transferred about 60% of the energy to the titanium foil and the nitrogen gas layer, and energy deposition to target materials was approximately 20%. Simulation of the depth profiles in polyethylene multilayer films was carried out and compared with the profiles of radical concentration by ESR measurements with the electron beams of the energy of 200 keV and 100 keV, finding them in good agreement.
    Download PDF (497K)
  • Akira Watanabe, Shohei Tadenuma, Tokuji Miyashita
    2009 Volume 22 Issue 3 Pages 305-306
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (668K)
  • Kimihiko Matsukawa, Yukihito Matsuura, Yoshiki Michiwaki, Masaki Chika ...
    2009 Volume 22 Issue 3 Pages 307-309
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (349K)
  • Toshiyuki Tamai, Mitsuru Watanabe, Seiji Watase, Takashi Hamada, Masah ...
    2009 Volume 22 Issue 3 Pages 311-312
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (508K)
  • Junji Miyazaki, Akira Kawai
    2009 Volume 22 Issue 3 Pages 313-316
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Anisotropic wet etching for Si substrate is a key technology for manufacturing three dimensional structures for MEMS. It is important to understand a printability of defect during this etching process to create a complete design of MEMS structure. In this paper, an impact of quasi-defects on an etched structure is investigated. It is demonstrated that a minimum defect size which is not affect on the final structure is around a half of total etching depth.
    Download PDF (541K)
  • Hiroki Sasazaki, Akira Kawai
    2009 Volume 22 Issue 3 Pages 317-3120
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Dielectric properties of resist materials such as dielectric frequency dispersion, permittivity and dielectric loss tangent, which should be optimized in structural material designing, are characterized. Dielectric properties of resist materials are characterized by traditional capacitance method in the frequency range of 10mH to 5MHz. The relative dielectric constant and loss tangent from dry film resist (DFR) at 1MHz can be determined to be 3.63 and 0.0744. The Cole-Cole plot is employed to determine a dielectric relaxation time of dipole moment in polymer structure. The relaxation time of DFR film can be determined to be 12.1s. The validity of dielectric properties of DFR film as a structural material is discussed.
    Download PDF (562K)
  • Yousuke Goto, Takeshi Maruoka, Masashi Yamamoto, Hideo Horibe, Eiji Ku ...
    2009 Volume 22 Issue 3 Pages 321-324
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    We investigated the removal of ion-implanted resists with various acceleration energy using wet ozone. The resist removal rate decreased with increasing acceleration energy in B- and P-ion-implanted resists. The hardness of ion-implanted resists increased with increasing acceleration energy as a result of nanoindentation, and was simulated by Stopping and Range f Ions in Matter software (SRIM2008).
    Download PDF (411K)
  • Takeshi Maruoka, Yousuke Goto, Masashi Yamamoto, Hideo Horibe, Eiji Ku ...
    2009 Volume 22 Issue 3 Pages 325-328
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Using atomic hydrogen for its excellent reduction ability, we demonstrated the removal rates and the hardness of ion-implanted resists. Removal rates of B-ion implanted resists using atomic hydrogen decreased with increasing implantation dose. According to the hardness of the resists, the indentation depth at 100mgf shifted toward the surface of the resists with increasing implantation doses. In contrast, removal rates of thermally hardened resists decreased with increasing baking temperatures. The indentation depth at 100mgf shifted toward the surface of the resists with increasing baking temperature. Ion-implanted resist removal rate using atomic hydrogen decreased compared with non-ion-implanted resist. We believe that this is because the resists were thermally hardened, attributable to the supplied energy from implanted B-ions.
    Download PDF (453K)
  • Atsushi Sekiguchi, Kengo Ogawa, Keniji Tanabe, Takeshi Matsunobe, Fumi ...
    2009 Volume 22 Issue 3 Pages 329-334
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    In recent years we have seen growing numbers of cases in which outgassing generated by resists during ArF exposure gives problems such as fogging of exposure equipment lenses. Scanner manufacturers have reportedly begun taking countermeasures, for example, by establishing outgassing criteria. In the near future, resist manufacturers will likely be required to attach information on outgassing to their products at the time of shipment.
    Previously, we examined various methods for evaluating the outgassing generated by KrF resists during KrF (248 nm) exposure. This paper describes our study of methods for evaluating outgassing generated by ArF chemically-amplified resists during ArF exposure based on the outgassing analysis techniques currently available. Roughly speaking, analysis items for outgassing can be divided into two categories: ionic substances (anions) derived from PAG and volatile organic carbon (VOC) derived from protective groups. Ion chromatography (IC) is used for the former, gas chromatography (GC) for the latter.
    Download PDF (763K)
  • Fumiya Shiraki, Yuji Oshima, Akihiro Oshima, Masakazu Washio
    2009 Volume 22 Issue 3 Pages 335-340
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Perfluoro-sulfonic acid (PFSA) membranes were exposed to UV for the improvement of surface adhesion property. The photochemical effect of PFSA was evaluated by UV-visible spectroscopy. The changes of the chemical structure of UV-exposed PFSA were studied by electron spin resonance (ESR) and Fourier transform infrared (FTIR) spectroscopies. ESR spectra revealed the presence of peroxy radicals. Moreover, the results of FTIR would be indicating the decomposition of side chains of PFSA. To evaluate the adhesion properties after UV exposure, the shearing stresses of laminations were measured. The lamination of UV-exposed PFSA showed higher adhesion property than that of unexposed PFSA. A membrane electrode assembly (MEA) consisting of UV-exposed PFSA was fabricated by a hot-press method for the fuel cell operations. The obtained MEA showed higher cell performance than that of the MEA of unexposed PFSA.
    Download PDF (411K)
  • Yuya Takasawa, Naoyuki Fukutake, Kazumasa Okamoto, Akihiro Oshima, Sei ...
    2009 Volume 22 Issue 3 Pages 341-345
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    It was demonstrated that the nano / microfabrication and functionalization for crosslinked Polytetrafluoroethylene (RX-PTFE) were carried out using focused ion beam (FIB). The fine pattern of the regularly nano-scale square array holes with 300 nm square size were fabricated by FIB direct etching. The fabricated samples were functionalized by post grafting reaction using trapped free radicals induced by FIB irradiation, and the samples were successively sulfonated. The obtained samples were treated by the mixture solution of KOH/KCl to make potassium form, and observed by FE-SEM with EDX spectroscopy. The signals correspond to potassium atom were detected at the holes in fabricated area of RX-PTFE. On the other hand, the signals of potassium formed sulfonic group were hardly detected at the distance of 10 μm from the hole's center in the fabricated area.
    Download PDF (772K)
  • Kieko Harada, Tetsuyuki Taniai, Masahiro Nakada, Hiroshi Hamana, Kiyom ...
    2009 Volume 22 Issue 3 Pages 347-350
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Degradation temperature, D.T. of the para-substituted benzene diazo compound (SBD) incorporated with multi-walled carbon nanotube (CNT), was determined by TDA and DTA in solid. The D.T. of SBD with CNT was higher than that of SBD with C60, which was higher than that without CNT or C60. Stabilization energies of diazo compounds with CNT (1:1) and C60 (1:1) were calculated to be - 57 and -7 kJ/mol by WinMOPAC, respectively. Calculation results support the stability of diazo with CNT or C60. Photodecomposition rate of PVA resist films containing diphenyl-4-diazonium sulfate salts / formaldehyde condensate (DSR) with CNT was higher than that without CNT. It is considered DSR/PVA with CNT was photo-decomposed reductively and accelerated with the electrons evolved from CNT, absorbing light energy. Hardness of resist layers containing CNT (1/1 to diazo by weight ) was larger than that with C60, which was larger than that without CNT or C60. High durability is assumed to be caused by compact packing of the polymer chains with nanocarbons.
    Download PDF (4672K)
  • Xuesong Jiang, Jie Yin, Yasuharu Murakami, Makoto Kaji
    2009 Volume 22 Issue 3 Pages 351-356
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Distyryl pyridine (DSP) photosensitizer for HABI photoinitiator systems was newly designed and eleven methoxy DSP(distyrylpyridines)s were synthesized and evaluated. The results showed that 2, 4-dimethoxy DSP (24DMOP-DSP) was the most efficient among studied methoxy DSPs. Compared with coumarin 1 as reference, the photosensitivity for 24DMOP-DSP as photosensitizer was increased by 150%. Potential of DSP as photosensitizer was supported by the mechanism study, such like photobleaching property of 24DMOP-DSP, derived from configuration change of E to Z, and efficient fluorescence quenching of excited singlet DSP by BCIM.
    Download PDF (1007K)
  • Masashi Yamamoto, Ryuta Kitai, Yasuharu Horibe, Atsushi Sekiguchi, Hat ...
    2009 Volume 22 Issue 3 Pages 357-362
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    We demonstrated the relationship between the weight-average molecular weight (MW) of novolak resin for the positive-tone novolak resist and the dissolution properties of resists obtained by discrimination curves. We subsequently used PROLITH to evaluate the effects of MW of the resin on the resolution of resists while changing the MW from 2940 to 13100 as the fixed number-average molecular weight (MN) of the resin (MN=605±35). Dissolution contrast (nD: the ratio of the dissolution rate of the resist in the exposed area to the dissolution rate of the resist in the unexposed area) of the resist was improved with an increase in MW when MW was 6370 or less and was saturated (nD≈ 2.9) when MW exceeded 6370. Resist development contrast (δ: gradient of change of the dissolution rate from unexposed area to exposed area) improved with an increase in MW. Using PROLITH, we demonstrated that the resist pattern profile and the process window improved as MW increased. However, resist sensitivity degraded with an increase in MW. Therefore, from the perspectives of resist sensitivity, process window, and contrast, a resist pattern with a better profile may be made stably using the positive-tone novolak resist with novolak resin of MW≈6370.
    Download PDF (437K)
  • Ken'ichi Aoki, Mayuko Suzuki, Kunihiro Ichimura
    2009 Volume 22 Issue 3 Pages 363-364
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (278K)
  • Miki Kanao, Atsushi Otake, Kousuke Tsuchiya, Kenji Ogino
    2009 Volume 22 Issue 3 Pages 365-370
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    In this paper, simple, effective, and stereo-selective syntheses of 5-norbornene-2-carboxylic acid (NBCA) and its derivatives were reported. The properties as a resist material were also investigated. In order to obtain exo-rich isomer at high yield, methyl 5-norbornene-2-carboxylate (MNBC) was synthesized via Diels-Alder reaction as a starting material at first. Then, the isomerization and exo-selective hydrolysis of MNBC were performed in a basic condition to synthesize exo-rich NBCA. Exo-rich t-butyl 5-norbornene-2-carboxylate (tBNBC) was prepared by non-selective esterification. After purification, exo-tBNBC was isolated. The random copolymers consisting of exo- or endo-rich tBNBC (endo-rich tBNBC was synthesized by classic Diels-Alder reaction) and maleic anhydride were synthesized as resist materials via free radical polymerization. The resulting copolymers were characterized by GPC and 1H NMR. Furthermore, the acidic deprotection behavior of exo- and endo-tBNBC was monitored using 1H NMR for the model reaction of resist system. In this study, it is suggested that the endo/exo ratio of norbornene derivatives affect reactivity, rate of reaction, property of polymer and monomer, and lithographic performance.
    Download PDF (351K)
  • Toshiyuki Horiuchi, Naoki Hayashi
    2009 Volume 22 Issue 3 Pages 371-377
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Scan projection optical lithography onto small diameter shafts were investigated. It will be useful for printing complicated patterns including cross points or elbow points instead of laser direct writing. A specimen shaft coated with a resist film was synchronously rotated during the continuous linear scan of a flat reticle. Because the shaft surface was curved in an arc, instantaneous exposure field should be limited to a narrow area near the top surface of the shaft, where the surface was regarded almost flat. Accordingly, an allowable width of the slit limiting the exposure area was discussed considering defocus, pattern position shift, and width swelling. Pattern width target was 50-100 μm onto 1 or 2 mm diameter shafts because an immediate application was to print helical shaft patterns for micro-axial pumps. The slit width had to be less than approximately 30% of the shaft diameter to print 100-?m wide patterns clearly. The cross patterns were printed as clearly as the simple line patterns, and width and pitch variations were sufficiently small.
    Download PDF (503K)
  • Xiaohe Zhu, Chengliang Ni, Hoong Yan, Rugong Zhong
    2009 Volume 22 Issue 3 Pages 379-384
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    1,4-dihydropyridine undergoes a head-to-tail [2+2] photodimerization that leads to the formation of anti-dimer, syn-dimer and cage dimer in solution. Their crystal X-ray crystallographic analysis suggests that the stereoisomers in solution are restrainted by the relative position of double bonds of intramolecules, hydrogen bonds and steric hindrances, which are different in the solid state. The cage dimer is formed by the intramolecular reaction of syn-dimer, and is topochemical controlled by the nearest distance between potentially reacting double bonds, rotational angles of the building cyclobutane ring and the orientation of carbonyl ester.
    Download PDF (402K)
  • Hitoshi Mikoshiba, Chengliang Ni
    2009 Volume 22 Issue 3 Pages 385-388
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    As-deposited absorbance and resistivity depended on as-deposited transmittance (ADT). Absorbance of annealed ITO layer was low not so depending on ADT due to the reduction of indium metal component in the annealing treatment. Resistivity became lower after annealing because the carrier generation by crystallization was dominant in the annealing treatment. Grain size enlarged as ADT rose. Resistivity decreased as grain size enlarged because the influence of grain boundary scattering was reduced. In case of lower ADT, flexure resistance of annealed ITO was comparatively good because small grains contacted each other at many points and could endure the stress. In case of higher ADT, flexure resistance of that deteriorated as ADT rose because large grains contacted each other at few points and could not suffer the stress and consequently split along the grain boundary.
    Download PDF (417K)
  • Manabu Tsumura
    2009 Volume 22 Issue 3 Pages 389-390
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (216K)
  • Shuji Fukuda, Mami Katayama, Katsuya Sakayori
    2009 Volume 22 Issue 3 Pages 391-392
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (431K)
  • Kohji Katoh, Takeharu Motobe, Masayuki Ohe, Kazuya Soejima, Yuichi Kan ...
    2009 Volume 22 Issue 3 Pages 393-396
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Polyimide (PI) materials have been widely used as stress buffer and rewiring cover layers to improve semiconductor reliability. As these applications require compatibility with various organic and/or inorganic materials, the effect of plasma treatment on the adhesion of PI to an anisotropic conductive film (ACF) and an under-fill molding compound (UF) was investigated. In this paper, several gases were tested and the results found that N2 plasma treatment of the PI film produced an improvement in the adhesion of the PI to both ACF and UF due to stronger bonding at the interface.
    Download PDF (339K)
  • Takashi Oguni
    2009 Volume 22 Issue 3 Pages 397-402
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (538K)
  • Jae Hyun Kim
    2009 Volume 22 Issue 3 Pages 403-405
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    The reasons for the use of photosensitive polyimides (PSPIs) in semiconductor buffer coating processes and the technical problems associated with such materials have been widely described. The requirements for the further improvement of the pre-established processes and the considerations affecting the design of future PSPI materials are discussed. The next generation of PSPI buffer coating processes is briefly described.
    Download PDF (358K)
  • Yuji Shimizu, Akiko Takahashi, Toshiyuki Oyama
    2009 Volume 22 Issue 3 Pages 407-410
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Download PDF (664K)
  • Masatoshi Hasegawa, Jun Nakano
    2009 Volume 22 Issue 3 Pages 411-415
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Novel colorless polyimides (PIs) derived from 1R, 2S, 4S, 5R-cyclohexane tetracarboxylic dianhydride (a new hydrogenated pyromellitic dianhydride (PMDA) with a controlled steric structure, H"-PMDA) with various aromatic diamines were applied to positive-type photosensitive PI systems. The H"-based PI precursors, poly(amic acid)s (PAAs), were partially imidized with controlled extents of imidization (χI) by adding established amounts of a cyclodehydration reagent (acetic anhydride/pyridine) into the PAA solutions and stirring at room temperature for 24 h while keeping the homogeneity of the reaction mixture except when 4,4'-oxydianiline (4,4'-ODA) was used as a diamine component. A good linear relationship was obtained between the amount of cyclodehydration reagent added and the extent of imidization (%) in the partially imidized PAAs (pi-PAAs) obtained. The pi-PAA cast films (10 μm thick) displayed a considerably high light transmittance at 365 nm (> 85%) in addition to its adequately controlled alkali-solubility. The photosensitive films consisting of the pi-PAAs and diazonaphthoquinone (DNQ) as a photosensitizer provided good positive-tone patterns through uv-irradiation and subsequent development using a 2.38 wt% tetramethylammonium hydroxide (TMAH) aqueous solution. The fully imidized films showed very high glass transition temperatures exceeding 300oC in some cases, sufficient film-forming ability (flexibility), and excellent transparency. The use of a thermally crosslinkable mono-functional end-capper, nadic anhydride (NA), was very effective to inhibit gelation during the chemical imidization process even in the H"-PMDA/4,4'-ODA system owing to its adequately controlled molecular weight. In addition, thermal curing of the NA-terminated system significantly improved the film toughness.
    Download PDF (493K)
  • Junichi Ishii, Keisuke Morita, Takatoshi Hasegawa
    2009 Volume 22 Issue 3 Pages 417-422
    Published: June 30, 2009
    Released on J-STAGE: August 14, 2009
    JOURNAL FREE ACCESS
    Amide- and triazine-containing diamines were incorporated by copolymerization into a siloxane-containing ultra-low-modulus polyimide (PI) as a novel cover layer material to solve some serious problems in the plating process such as interpenetration of an acidic plating solution into the interface between the S-side of electro-deposited copper foil and the cover layer. Our previous approach, a physical roughness modification of the S-side was effective to inhibit the interpenetration of the plating solution. This result suggests that the Cu/cover layer adhesion strength can be an important factor in the present case. The present work describes our chemical approach to increase the adhesion strength by using some heterocyclic monomers expected as adhesion promoters. The modified siloxane-containing PI possessed significant film toughness in spite of their low inherent viscosities. Unfortunately, the use of the amide- and triazine-containing diamines with minor contents (5?20 mol %) did not enhance the peel strength, however, the initial peel strength was almost maintained at 5 mol% without curling in the copper clad laminates (CCL), although the value significantly decreased at higher contents (10?20 mol%) with a prompt increase in the tensile modulus of the PI films and concomitant CCL curling. The results suggest that the decreased peel strength is closely related to the increased modulus of the PIs. Other properties (thermal and electrical properties, resistance to plating solutions, and photosensitivity etc.) of the modified siloxane-containing PIs will be also discussed in this work.
    Download PDF (498K)
feedback
Top