Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 21, Issue 1
Displaying 1-29 of 29 articles from this issue
  • Masao Kato
    2008 Volume 21 Issue 1 Pages 3-6
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Download PDF (142K)
  • Tomotaka Tsuchimura, Youhei Ishiji, Kazuto Shimada, Tomonori Matshushi ...
    2008 Volume 21 Issue 1 Pages 7-9
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Download PDF (120K)
  • Hiromi Yatsuda, Makoto Nara, Toshimasa Mori, Kazuo Terashima, Hidenobu ...
    2008 Volume 21 Issue 1 Pages 11-14
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Download PDF (167K)
  • Rog-Jer Lee, Wen-Tung Cheng, Jiang-Jen Lin
    2008 Volume 21 Issue 1 Pages 15-19
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    In this paper, photoelectron effect is applied to describe the reaction mechanism of macroscopic orderly stacking new crystalline from the poly(oxypropylene)amine modified clays. With X-ray fluorescent irradiates the condensed film of poly(oxypropylene)amine intercalated smectite silicates, a special inhomogeneous Si photoelectron (102.1 electron volts) concentration pattern was revealed. Silicone with shifted electron binding energy on the surface of the organo-silicates causes the photoelectron energy differentiated. Analysis of the FTIR vibration spectrums show no new chemical bonding assigned to the organo-silicate condensation. It is proposed the radius of ionic outer shell of silicone was altered as the poly(oxypropylene)amine intercalated montmorillonite proceeding a organo-mineral polymerization by self assembly process. Optical polarized microscope and X-ray diffraction pattern also confirmed the transformation of random particulate to a special nematic texture of organo-clay hybrid polymer.
    Download PDF (874K)
  • Tatsuya Kubozono, Yutaka Moroishi, Yoshio Ohta, Noboru Moriuchi
    2008 Volume 21 Issue 1 Pages 21-30
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Resist removal after photolithography in the wafer process was investigated by peeling off the resist layer with an adhesive tape. Compared to conventional O2 plasma ashing, this new process leaves little contamination, especially metal contamination, on wafers. Consequently, the electrical properties of the oxide layer and substrate were significantly improved. As a result, this new process should be much more effective for the production of front-edge devices while also reducing the usage of harmful liquids. In this paper, the mechanism of the removal is discussed. Ultimately it was determined that the monomer in the adhesive layer penetrated into the resist layer and the two layers were consolidated together. nalytical techniques to detect this phenomenon in situ are also presented.
    Download PDF (1344K)
  • Atsushi Kameyama, Junji Kato, Masao Yoshinari, Yuji Kotoku, Go Akashi, ...
    2008 Volume 21 Issue 1 Pages 31-35
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    This study determined the ultimate micro-tensile strength (μUTS) of three dental adhesives cured with three different light sources; blue light-emitting diode (LED), violet LED, and quartz-tungsten-halogen (QTH). Three dental adhesives, Clearfil SE Bond (SE), Unifil Bond (UB), and D/E Resin (DE), were examined. 0.7 mm-thick dental adhesive discs were prepared, trimmed into an hourglass shape, and the μUTS was then measured at a cross-head speed of 1.0 mm/min (N=10). Additionally, the spectrum of each light source and the transmission spectrum of each adhesive were measured using a spectrometer. In SE, QTH showed the highest μUTS among the different light sources, and no significant difference was found between blue LED and violet LED. While no significant difference was found in μUTS between QTH and blue LED, violet LED indicated significantly lower μUTS value in both UB and DE. The spectrometric analysis of blue LED, violet LED and QTH light sources showed peaks at 471 nm (range: 440-510 nm), 404 nm (390-430 nm), and 492 nm (390-510 nm), respectively. In both UB and DE, transmittance was slightly decreased at the range of 390-510 nm, the same peak/range as seen for camphorquinone which is included as a photoinitiator. On the other hand, in SE, a significant reverse peak at 360-420 nm was additionally observed. It was concluded that light wavelength affects the μUTS of adhesive due to the differences in the photoinitiator.
    Download PDF (563K)
  • Akira Kawai, Daisuke Tanaka, Sachito Matsubara, Masayoshi Ogata, Kazuo ...
    2008 Volume 21 Issue 1 Pages 37-42
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Spreading of organic solution can be improved drastically on a solid surface after the wet-blast treatment. The wet-blast treatment has an ability of morphology control of various kinds of surfaces. Various organic liquid can spread spontaneously on a substrate due to spreading energy S. By analyzing surface energies of the treated surfaces, the wet-blast treatment has a similar effect of the increase of dispersion component γd, that is, hydrophobic surface. Spontaneous spreading of the polymer solutions can be explained to be negative value of the spreading coefficient. High wetting surface can be realized by an oxygen plasma treatment. However, the substrate surfaces become considerable hydrophilic property due to increase of the polar component γp, that is, hydrophilic surface. It can be considered that water vapor adsorbed the hydrophilic substrate and induce corrosion of metal components such as an electrode and micro wire lines. The roughened surface can act to prevent liquid condensation after spreading on the substrate, so-called "pinning effect". The spontaneous spreading on the substrate after wet-blast treatment can be explained on the multiplier effect of nominal dispersion increase and pinning.
    Download PDF (1220K)
  • Akira Kawai, Daisuke Tanaka, Tomotaka Ariga
    2008 Volume 21 Issue 1 Pages 43-46
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Micro particles path through in a concave channel and are trapped at a through hole in the filter structure. In the experiments, the deionized (DI) water is dropped on a micro 3D-filtering system constructed by a dry film resist (DFR) pattern. The filtering system is composed by an inlet hole of micro liquid drop, a micro channel, a micro filter and an outlet channel. In the result, the micro particles condensed and trapped at the different position in various shape patterns. The flowing and trapping of micro particles in a micro filtering device has been recognized as one important factor in functional micro device manufacturing. The construction of 3D-structure under acting Laplace force is accomplished without the structure destruction. The force acting on the micro particles and DFR structure is controlled by adjusting surface energy of methanol solution. We can control and predict the micro filtering system by designing micro pattern arrangement.
    Download PDF (1105K)
  • Youichi Higuchi
    2008 Volume 21 Issue 1 Pages 47-51
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    The binary hologram masters with multi-layer refractive index were developed and evaluated in their characteristics. The chirped diffraction grating was fabricating by electron-beam lithography. The design of metallic binary multi-layer gratings were successfully fabricated by excellent polarization separation elements (Hologram Color Filter). The obtained gratings designed were formed by binary metallic microstructures and deflected the incoming light into a certain direction with theoretical efficiency of over 90%.
    Download PDF (255K)
  • Kohki Mukai, Shinya Kitayama, Shoji Maruo
    2008 Volume 21 Issue 1 Pages 53-58
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    We investigated the combination of electroless and electrolytic plating of nickel, copper, and ferrite onto photopolymerized resin for use in the molding of three-dimensional micro-structures. The micro-molding process consists of four steps: fabrication of the resinous mold via two-photon micro-polymerization, plating of the mold, electrolytic grinding to open the interior structure, and extraction. A non-conductive epoxy resin was plated with nickel, copper, or ferrite through the electroless process, and then, the second layer composed of the other material was grown by the electroless or electrolytic plating. We found that the every combination of the three materials is available. The electrolytic plating was useful for applying a thick film with high purity.
    Download PDF (4887K)
  • Keiko Harada, Tetsuyuki Taniai, Masahiro Nakada, Hiroshi Hamana, Kiyom ...
    2008 Volume 21 Issue 1 Pages 59-62
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Degradation temperature, D.T. of the para-substituted benzene diazo compound (SBD) incorporated with fullerene (C60) or inclusion compounds ; 18-crown-6 and polyethylene glycol 300 (PEG), was determined by TDA and DTA in solid. The D.T. of SBD with 18C6 was higher than that with C60. The D.T. of SBD with C60 was higher and that of SBD with PEG was lower than that without C60 or PEG. 18C6 includes a diazo group, but C60 incorporates or co-ordinates diazo compounds. Stabilization energies of diazo compound with C60 (1:1) and (1:2) were calculated by WinMOPAC , to be -1.7 and -4.4 kcal, respectively. Photodecomposition rate of PVA resist films containing diphenyl-4-diazonium sulfate salts / formaldehyde condensate (DSR) with C60 was as large as that without C60. Photo decomposition rate of DSR/PVA resist with 18C6 was smaller than that without 18C6. Hardness of resist layers containing 1/10 and 1/1 C60 (to the weight of diazo) was 2% and 20 % harder than that without C60, respectively.
    Download PDF (424K)
  • Daisuke Tanaka, Akira Kawai
    2008 Volume 21 Issue 1 Pages 63-68
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Dry film resist (DFR) and thick resist by spin coat (TRS) provide well-defined resist profiles with high aspect ratio, and they are also suitable for use as a permanent resist material. These resists have been widely used in the micro fluidic (inkjet, reactor, biochip) fields. The flowing control of micro bubbles is carried out in the permanent resist micro fluidic channel formed on the metal/insulator composite substrate. As the result, these micro bubbles were more likely to trap at the Au surface by controlling the surface free energy of DI-water. Furthermore, in order to prevent the bubble formation in the channel, it is effective to control the surface free energy. The O2 plasma treatment is also effective to prevent the bubble trapping.
    Download PDF (750K)
  • Atsushi Seguchi, Yoshiyuki Kono, Fumihiko Oda, Yukihiro Morimoto
    2008 Volume 21 Issue 1 Pages 69-73
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    We previously performed in situ FT-IR measurements while subjecting chemically-amplified resists to UV light (248 nm) to observe photodecomposition of the PAG for KrF excimer lasers. Based on these observations, we measured rate constants for acid generation associated with PAG photodecomposition. More recently, we equipped our FT-IR system with a 193-nm excimer lamp (developed by Ushio Inc.) to perform the world's first in situ observations of PAG photodecomposition using 193-nm light. Our report also describes the successful measurement of rate constants for acid generation from the PAG for ArF excimer lasers.
    Download PDF (1001K)
  • Ken'ichi Aoki, Kunihiro Ichimura
    2008 Volume 21 Issue 1 Pages 75-76
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Download PDF (288K)
  • Toshiyuki Horiuchi, Hiroyuki Watanabe
    2008 Volume 21 Issue 1 Pages 77-83
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    The upper limit of the aspect ratio for large and thick resist patterns of 25-50 μm width and 65-400 μm thickness was investigated using negative SU-8 resist. A hand made exposure system was used for the experiments, and the F-numbers were set at 5.6-11.2. The F-numbers correspond to the low numerical apertures (NAs) of 0.089-0.044. Whether favorable patterns were printed or not were mainly depended on the resolution and the pattern collapse. The low NA values and appropriate defocus by placing the wafers separating from the lens enabled to print favorable high-aspect patterns with vertical sidewalls. The highest aspect ratio was approximately 8 for the patterns of 51 μm width and 417 μm height.
    Download PDF (4891K)
  • Akira Kawai, Takashi Yamaji, Hiroshi Horiguchi
    2008 Volume 21 Issue 1 Pages 85-89
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Interaction in liquid medium has been focused for analyzing pattern development, pattern plating, wet etching and cleaning. Adsorption forces due to surface energy of a micro tip and various inorganic substrates can be measured in liquid environment. Hamaker constant between two surfaces is determined based on Lifshitz theory. In liquid condition, Hamaker constant in this system is proportional to adhesion force between the tip and substrates. Experimental value of Hamaker constant indicates good agreement with that of theoretical one.
    Download PDF (481K)
  • Takashi Yamaji, Akira Kawai
    2008 Volume 21 Issue 1 Pages 89-94
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Deformation and stress distribution of ultra thin resist pattern are estimated by finite element method (FEM) from the measurement values of van der Waals (vdW) force and mechanical properties of resist material. In this simulation, strain and stress distribution in the simple model of the resist pattern are obtained. These results show that the thin resist pattern has high sensitivity to weak vdW force. And, the stress concentrates at an interface between the resist pattern and the substrate. The stress concentration point in the resist pattern would be destructed due to the weak force. In the experiment, the vdW attractive force is measured with an atomic force microscope (AFM) system. The maximum value of the attractive force is about 180nN. The error of the force measurement is prevented to be lower because the no torsion of the cantilever can be observed when the tip is approaching to the thin film resist surface. It is possible to discuss the realization of a soft micro chamber wall made of a soft material such as the cell.
    Download PDF (1335K)
  • Satoshi Maeda
    2008 Volume 21 Issue 1 Pages 95-99
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    One of the key issues for semiconductor chip packaging in microelectronics technology is the reliability of the chip packaging during harsh temperature change in practical use. Typically, a thermal expansion mismatch between a silicon chip and a package substrate causes cracks between interconnecting bumps after the thermal cycling when the wiring pitch becomes fine. To overcome this problem, we have developed a new dielectric film which has extremely low CTE (Coefficient of Thermal Expansion) with the almost equal value to silicon over a wide range of temperature. The film will realize thermally-reliable interconnection at package substrate fabrication and in high temperature applications.
    Download PDF (4738K)
  • Ken-ichi Fukukawa, Ichiro Fujio, Wataru Yamashita, Shoji Tamai
    2008 Volume 21 Issue 1 Pages 101-106
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    An unusual increase in Tg of polyimide composite film consisting of semi-alicyclic polyimide (PI-1) and thermally treated talc (b') was observed by TMA measurement, where the Tg jumped as high as +50 K from the original one with 45 wt% of the baked talc. The degrees of (i) increasing viscosity of the solution with PI-1 precursor and the talc, (ii) increasing Tg of the composite film, and (iii) increasing tensile modulus of the composite film were all corresponded to the amount of thermal weight loss from the original talc. According to XRD and TEM observations, the morphological change of the stacking layer structure inherently talc owns was not occurred while the talc was subjected to bake at 700 °C in nitrogen. Since TG-MS elucidated that the emerged components during the thermal treatment was assignable to carbon dioxide, the original talc included some carbonate impurities. The volume of that contaminant involved in talc thus supposed to be correlation to the film thermal property.
    Download PDF (4880K)
  • Junichi Ishi, Tomoyasu Sunaga, Mamiko Nomura, Hiroki Kanaya
    2008 Volume 21 Issue 1 Pages 107-112
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Two types of photosensitive polyimides (PSPIs) were developed as novel cover layer materials for FPC applications in this work. One of them, PSPI-1 was highly soluble in common organic solvents such as NMP in spite of its stiff backbone structure. The PSPI-1 film formed by simple solution-casting exhibited a low CTE of 19-24 ppm/K in addition to a high Tg at 292°C, high thermal stability, low water absorption (0.3%), comparatively good transparency, and sufficient film flexibility. On the other hand, another system, PSPI-2 displayed an extremely low modulus. Both PSPI systems completely inhibited curling of the PSPI/copper laminates. These PSPI systems also possessed fine positive-tone patterning ability, reliable insulation property, and the highest level of non-flammability(UL-94: V-0 or VTM-0). The results revealed that the present PSPI systems are promising candidates as novel cover layer materials.
    Download PDF (967K)
  • Toshihisa Nonaka, Yoshitaka Hara, Noboru Asahi, Tsukuru Mizuguchi, Yoi ...
    2008 Volume 21 Issue 1 Pages 113-117
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Photo definable high dielectric constant organic-inorganic hybrid material has been developed. BaTiO3 submicron particles were heavily loaded as much as 87 vol% to photo sensitive polyimide. Patternability of line / space 20 /20 μm were demonstrated. Some of material characteristics of the cured films were investigated. The relative dielectric constants were 53 at 1 kHz and 42 at 12 GHz. The volume resistivity was .7 x 10-12Ωcm. 5 %weight loss temperature was 420°C. Insulation reliability test was also implemented and there was no degradation in the test of Cu 10 μm gap comb type electrodes with applied voltage 20 V at 85°C/85%Rh for 1000 hours.
    Download PDF (614K)
  • Masaki Okazaki, Hitoshi Onishi, Wataru Yamashita, Shoji Tamai
    2008 Volume 21 Issue 1 Pages 119-123
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    A positive-type photosensitive polyimide (PSPI) based on poly(amic acid) (PAA), 1,3,5-tris[(2-vinyloxy)ethoxy]benzene (TVEB) as a crosslinking dissolution inhibitor and a photoacid generator (PAG) has been developed. PAA was prepared from pyromellitic dianhydride (PMDA), 2,5(6)-bis(aminomethyl)bicyclo[2.2.1]heptane (NBDA) and 4,4'-oxydianiline (ODA) in N,N-dimethylacetamide (DMAc), which has moderate transparency above 365 nm and solubility for 1 % sodium carbonate aqueous solution (aq.). Without isolation of PAA, the photosensitive resist solution was formulated with the polymerization solution (23 wt% in DMAc), TVEB (15 wt% for polymer) and PAG (3 wt% for polymer). No anomaly was recognized after the flexural endurance test by use of the 16 6mu;m PSPI film, which was cured at 2506°C, on a Kapton(r) film. Furthermore a positive image featuring 30 μm line and space patterns was observed by the contact mode when a 9 μm thick film of the PSPI system was exposed to broadband UV light followed by development in a 1 % sodium carbonate aq. at room temperature.
    Download PDF (552K)
  • Tomohito Ogura, Katsuhisa Mizoguchi, Mitsuru Ueda
    2008 Volume 21 Issue 1 Pages 125-130
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    A negative-type photosensitive polyimide (PSPI) based on poly(amic acid) (PAA), a photo base generator (PBG), {[(4,5-dimethoxy-2-nitrobenzyl) oxy]carbonyl}2,6-dimethyl piperidine (DNCDP) and a thermal base generator (TBG), N-(p-nitrophenyl)-2,6-dimethylpiperidine (NDP) has been developed. The PAA was prepared from 3,3',4,4'-biphenyltetracarboxylic dianhydride (BPDA) and 3,3'-sulfonyldianiline (SDA) in N,N-dimethylacetamide (DMAc) and used directly for lithographic evaluations. The TBG was easily prepared by the reaction of p-nitrophenyl chloroformate with 2,6-dimethylpiperidine. The PAA film containing 10 wt % NDP was converted the polyimide (PI) at 200 °C. The PSPI consisting of PAA (83 wt %), PBG (8.5 wt %) and TBG (8.5 wt %) exhibited a high sensitivity (D0.5) of 38 mJ/cm2 and a contrast (γ0.5) of 0.56 when it was exposed to a 365 nm line (i-line), post-baked at 170 °C for 2 min, and developed with 2.38 wt % TMAHaq. A clear negative image of 8 mu;m line and space pattern was printed in a film which was exposed to 500 mJ/cmup>2 of i-line by contact printing mode, fully converted to the corresponding PI pattern upon heating at 200 °C, and confirmed by SEM and FTIR spectroscopy.
    Download PDF (1111K)
  • Yasuo Suzuki, Yasuhiro Nakamura, Shinji Ando, Mitsuru Ueda
    2008 Volume 21 Issue 1 Pages 131-136
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    Highly refractive and transparent polyimides (PIs) based on 2,5-bis(4-aminophenylsulfanyl)-1,4-dithiane (BASDT) have been developed. BASDT was polymerized with two dianhhydrides such as 1,2,3,4-cyclobutanetetracarboxylic dianhydride (CBDA) and 4,4'-[p-thiobis(phenylenesulfanyl)]diphthalic anhydride (3SDEA) to afford two PIs. The PI of 3SDEA/BASDT exhibited the glass transition temperature at 156 °C and the 5% weight loss temperatures (T5%) at 310 °C. The 1,4-dithiane moiety endowed the PI films with good optical transparency with high refractive indices. The optical transmittance of the PI film from CBDA and BASDT at 400 nm was higher than 90% for the thickness of 1.0 μm. Furthermore, the 1,4-dithiane moiety and flexible thioether linkages in the molecular chains of the PIs provided them with high refractive indices of 1.6929?1.7455 and small birefringence of 0.0056-0.0299.
    Download PDF (761K)
  • Norihiro Matsumoto, Hitomi Hiruma, Yuuki Karube, Arata Kaneko, Yoshiak ...
    2008 Volume 21 Issue 1 Pages 137-141
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    In this study, we prepared a novel fluorinated polyimide surface using both a rubbing machine with a rubbing cloth and an ion-beam irradiation apparatus for cell culture. We determined the surface properties of the rubbed film using an atomic force microscope and contact angle measurements. In addition, we evaluated the cell adhesion behavior on the polyimide film using a phase contrast microscope. Interestingly, a polyimide surface having a micrometer-scale grooved pattern was prepared by the rubbing method, and the morphologies of cells attached to the rubbed surface were three-dimensional multicellular spheroids. In addition, the cells were selectively adhered on the ion-irradiated surface, suggesting that the polyimide surface may become a novel cell culture method.
    Download PDF (3887K)
  • Yulai Han, Junji Wakita, Shigeki Kuroki, Xiaogong Wang, Shinji Ando
    2008 Volume 21 Issue 1 Pages 143-150
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    A new series of polyimide (PI)-fluorinated silicate nano-hybrid materials with low refractive indices were prepared by the sol-gel process based on the PI derived from 4,4' (hexafluoroisopropylidene) diphthalic anhydride (6FDA) and 4,4'diaminodicyclohexyl- methane (DCHM). Triethoxy-1H,1H,2H,2H-tridecafluoro-n-octylsilane (13FTES) and tetraethoxysilane (TEOS) were employed to prepare the silicate precursors. Furthermore, 2-aminopropyl triethoxysilane (APrTEOS) was used as a coupling agent to improve the compatibility between the polymeric and inorganic domains of the nano-hybrid materials. The chemical structures of organo-silicate and hybrid materials were examined with solid state 29Si- and 19F-NMR and IR spectra. The thermal stability of PIs was not deteriolated with increasing the amount of TEOS, whereas it was sacrificed with the increase of 13FTES. The refractive indices of the hybrids can be controlled through the silica content, and low refractive indices were obtained for the prepared hybrid films. The PI/silicate nano-hybrid materials thus obtained are promising for high performance optical devices.
    Download PDF (1117K)
  • Masatoshi Hasegawa, Akihito Ui
    2008 Volume 21 Issue 1 Pages 151-154
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    A new type of high modulus poly(amide benzoxazole)s (PABO) was derived from trans-1,4-cyclohexanedicarboxylic acid (CHDCA), bis(3-hydroxy-4-amino) biphenyl (p-HAB), bis(4-hydroxy-3-amino)biphenyl (m-HAB), and 2,2'-bis(trifluoromethyl) benzidine (TFMB). A stiff structure of cycloaliphatic CHDCA and fluorine-containing rod-like TFMB play a great role for the generation of high modulus in the PABO films with a significantly improved transparency at 365 nm for the PABO precursor film. A PABO possessing a copolymer composition of m-HAB60, TFMB40, and CHDCA100 achieved a high modulus of 4.9 GPa, a low coefficient of thermal expansion (CTE) of 19.8 ppm/K, a high Tg at 294°C, sufficient film toughness (elongation at break = 12.5 %), and high transparency at 365 nm (light transmittance = 73 %) for a 10 ?m thick PABO precursor film. The molecular weight control allowed the formation of fine positive-tone patterns by development using a 2.38 wt% TMAH aqueous solution at room temperature.
    Download PDF (713K)
  • Yoshihito Ishida, Teruaki Hayakawa, Masaaki Kakimoto, Youichi Kimae
    2008 Volume 21 Issue 1 Pages 155-159
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    A novel photosensitive polymer with main chain polyhedral origomeric silsesquioxane (POSS) was synthesized using hydrosilylation polymerization of dihydrosilane POSS and tetrahydropyranyl (THP) group containing di-yne derivatives and subsequent deprotection reaction without no side reactions. The obtained polymer was applied as a negative-working photoresist system with 4,4'-methylenebis[2,6-bis(hydroxymethyl)] phenol as the acid crosslinker and (5-propylsulfonyloxyimino-5H-thiophene-2-ylidene)- (2-methylphenyl)acetonitrile as the photoacid generator under 436 nm light and 2.38 wt% tetramethylammonium hydroxide aqueous solution/2-propanol (50:50, v/v). Under optimized condition, the three component resist system was able to generate sharp, 4 μm line patterns and the sensitivity characteristic curve indicate its sensitivity (D0.5) and contrast (γ0.5) are 31 mJ/cm2 and 3.1, respectively. Patterned film was crosslinked by thermal treatment at 300 °C and cured film shows enhancement of thermal stability such as 410 °C of thermal decomposition temperature (Td5) and above 300 °C of grass transition temperature (Tg). Furthermore, cured film indicates 2.77 of dielectric constant fairly same as matrix polymer of 2.78.
    Download PDF (780K)
  • Yuta Saito, katsuhisa Mizoguchi, Mitsuru Ueda
    2008 Volume 21 Issue 1 Pages 161-164
    Published: June 24, 2008
    Released on J-STAGE: July 31, 2008
    JOURNAL FREE ACCESS
    A negative-type chemically amplified photosensitive resist based on a novolac resin, 4,4'-methylenebis[2,6-bis(methoxymethyl)phenol] (MBMP) as a cross-linker, and (5-propylsulfonyloxyimino-5H-thiophen-2-ylidene)-(2-methylphenyl)acetonitrile (PTMA) as a photoacid generator has been developed. This resist showed the high sensitivity (D0.5) of 5.3 mJ/cm2 and good contrast (γ0.5) of 3.5 after exposure of 365 nm UV light, post exposure baked at 110 ° for 5 min, and developed with 2.38 wt% tetramethylammonium hydroxide aqueous solution. The 20 μm image was made in the polymer with 12 μm film thickness by using the resist consisting of novolac resin (90 wt %), MBMP (7 wt %), and PTMA (3 wt %).
    Download PDF (576K)
feedback
Top