IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Online ISSN : 1745-1337
Print ISSN : 0916-8508
Volume E98.A, Issue 12
Displaying 1-49 of 49 articles from this issue
Special Section on Information Theory and Its Applications
  • Tsutomu KAWABATA
    2015 Volume E98.A Issue 12 Pages 2366
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Download PDF (323K)
  • Yasutada OOHAMA
    Article type: PAPER
    Subject area: Shannon Theory
    2015 Volume E98.A Issue 12 Pages 2367-2375
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    We consider the distributed source coding system of two correlated Gaussian Vector sources Yl=t(Yl1, Yl2),l=1,2 which are noisy observations of correlated Gaussian scalar source X0. We assume that for each (l,k)∈{1,2}, Ylk is an observation of the source X0, having the form Ylk=X0+Nlk, where Nlk is a Gaussian random variable independent of X0. We further assume that Nlk, (l,k)∈{1,2}2 are independent. In this system two correlated Gaussian observations are separately compressed by two encoders and sent to the information processing center. We study the remote source coding problem where the decoder at the center attempts to reconstruct the remote source X0. The determination problem of the rate distortion region for this communication system can be regarded as an extension of the Gaussian CEO problem to the case of vector observations. For each vector observation we can obtain an estimation on X0 from this observation. Those estimations are sufficient statistics on X0. Using those sufficient statistics, we determine the rate distortion region by showing that it coincides with the rate distortion region of the CEO problem where the scalar observations of X0 are equal to the estimations computed from the vector observations. We further extend the result to the case of L terminal and general vector observations.
    Download PDF (709K)
  • Takuya KUBO, Hiroshi NAGAOKA
    Article type: PAPER
    Subject area: Shannon Theory
    2015 Volume E98.A Issue 12 Pages 2376-2383
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In the study of the capacity problem for multiple access channels (MACs), a lower bound on the error probability obtained by Han plays a crucial role in the converse parts of several kinds of channel coding theorems in the information-spectrum framework. Recently, Yagi and Oohama showed a tighter bound than the Han bound by means of Polyanskiy's converse. In this paper, we give a new bound which generalizes and strengthens the Yagi-Oohama bound, and demonstrate that the bound plays a fundamental role in deriving extensions of several known bounds. In particular, the Yagi-Oohama bound is generalized to two different directions; i.e, to general input distributions and to general encoders. In addition we extend these bounds to the quantum MACs and apply them to the converse problems for several information-spectrum settings.
    Download PDF (615K)
  • Makoto UEDA, Shigeaki KUZUOKA
    Article type: PAPER
    Subject area: Shannon Theory
    2015 Volume E98.A Issue 12 Pages 2384-2392
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    The multiterminal hypothesis testing problem with zero-rate constraint is considered. For this problem, an upper bound on the optimal error exponent is given by Shalaby and Papamarcou, provided that the positivity condition holds. Our contribution is to prove that Shalaby and Papamarcou's upper bound is valid under a weaker condition: (i) two remote observations have a common random variable in the sense of Gácks and Körner, and (ii) when the value of the common random variable is fixed, the conditional distribution of remaining random variables satisfies the positivity condition. Moreover, a generalization of the main result is also given.
    Download PDF (753K)
  • Mitsuharu ARIMURA
    Article type: PAPER
    Subject area: Source Coding
    2015 Volume E98.A Issue 12 Pages 2393-2406
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Almost sure convergence coding theorems of one-shot and multi-shot Tunstall codes are proved for stationary memoryless sources. Coding theorem of one-shot Tunstall code is proved in the case that the leaf count of Tunstall tree increases. On the other hand, coding theorem is proved for multi-shot Tunstall code with increasing parsing count, under the assumption that the Tunstall tree grows as the parsing proceeds. In this result, it is clarified that the theorem for the one-shot Tunstall code is not a corollary of the theorem for the multi-shot Tunstall code. In the case of the multi-shot Tunstall code, it can be regarded that the coding theorem is proved for the sequential algorithm such that parsing and coding are processed repeatedly. Cartesian concatenation of trees and geometric mean of the leaf counts of trees are newly introduced, which play crucial roles in the analyses of multi-shot Tunstall code.
    Download PDF (404K)
  • Shota SAITO, Nozomi MIYA, Toshiyasu MATSUSHIMA
    Article type: PAPER
    Subject area: Source Coding
    2015 Volume E98.A Issue 12 Pages 2407-2414
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    This paper considers universal lossless variable-length source coding problem and investigates the Bayes code from viewpoints of the distribution of its codeword lengths. First, we show that the codeword lengths of the Bayes code satisfy the asymptotic normality. This study can be seen as the investigation on the asymptotic shape of the distribution of codeword lengths. Second, we show that the codeword lengths of the Bayes code satisfy the law of the iterated logarithm. This study can be seen as the investigation on the asymptotic end points of the distribution of codeword lengths. Moreover, the overflow probability, which represents the bottom of the distribution of codeword lengths, is studied for the Bayes code. We derive upper and lower bounds of the infimum of a threshold on the overflow probability under the condition that the overflow probability does not exceed ε∈(0,1). We also analyze the necessary and sufficient condition on a threshold for the overflow probability of the Bayes code to approach zero asymptotically.
    Download PDF (526K)
  • Makoto TAKITA, Masanori HIROTOMO, Masakatu MORII
    Article type: PAPER
    Subject area: Coding Theory
    2015 Volume E98.A Issue 12 Pages 2415-2422
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Cassuto and Blaum presented a new coding framework for channels whose outputs are overlapping pairs of symbols in storage applications. Such channels are called symbol-pair read channels. Pair distance and pair error are used in symbol-pair read channels. Yaakobi et al. proved a lower bound on the minimum pair distance of cyclic codes. Furthermore, they provided a decoding algorithm for correcting pair errors using a decoder for cyclic codes, and showed the number of pair errors that can be corrected by their algorithm. However, their algorithm cannot correct all pair error vectors within half of the minimum pair distance. In this paper, we propose an efficient decoding algorithm for cyclic codes over symbol-pair read channels. It is based on the relationship between pair errors and syndromes. In addition, we show that the proposed algorithm can correct more pair errors than Yaakobi's algorithm.
    Download PDF (392K)
  • Makoto TAKITA, Masanori HIROTOMO, Masakatu MORII
    Article type: PAPER
    Subject area: Coding Theory
    2015 Volume E98.A Issue 12 Pages 2423-2428
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Cassuto and Blaum proposed new error correcting codes which are called symbol-pair codes. They presented a coding framework for channels whose outputs are overlapping pairs of symbols in storage applications. Such channels are called symbol-pair read channels. The pair distance and pair error are used in symbol-pair read channels. Cassuto et al. and Yaakobi et al. presented decoding algorithms for symbol-pair codes. However, their decoding algorithms cannot always correct errors whose number is not more than half the minimum pair distance. In this paper, we propose a new decoding algorithm using syndromes of symbol-pair codes. In addition, we show that the proposed algorithm can correct all pair errors within the pair error correcting capability.
    Download PDF (369K)
  • Takafumi HAYASHI, Yodai WATANABE, Anh T. PHAM, Toshiaki MIYAZAKI, Shin ...
    Article type: PAPER
    Subject area: Sequence
    2015 Volume E98.A Issue 12 Pages 2429-2438
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    The present paper introduces a novel method for the construction of a class of sequences that have a zero-correlation zone. For the proposed sequence set, both the cross-correlation function and the side lobe of the auto-correlation function are zero for phase shifts within the zero-correlation zone. The proposed scheme can generate a set of sequences of length 8n2 from an arbitrary Hadamard matrix of order n and a set of 2n trigonometric-like function sequences of length 4n. The proposed sequence construction can generate an optimal zero-correlation zone sequence set that satisfies the theoretical bound on the number of members for the given zero-correlation zone and sequence period. The auto-correlation function of the proposed sequence is equal to zero for all nonzero phase shifts. The peak factor of the proposed sequence set is √2, and the peak factor of a single trigonometric function is equal to √2. Assigning the sequences of the proposed set to a synthetic aperture ultrasonic imaging system would improve the S/N of the obtained image. The proposed sequence set can also improve the performance of radar systems. The performance of the applications of the proposed sequence sets are evaluated.
    Download PDF (1633K)
  • Takao MAEDA, Yodai WATANABE, Takafumi HAYASHI
    Article type: PAPER
    Subject area: Sequence
    2015 Volume E98.A Issue 12 Pages 2439-2445
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    To analyze the structure of a set of high-dimensional perfect sequences over a composition algebra over R, we developed the theory of Fourier transforms of the set of such sequences. We define the discrete cosine transform and the discrete sine transform, and we show that there exists a relationship between these transforms and a convolution of sequences. By applying this property to a set of perfect sequences, we obtain a parameterization theorem. Using this theorem, we show the equivalence between the left perfectness and right perfectness of sequences. For sequences of real numbers, we obtain the parameterization without restrictions on the parameters.
    Download PDF (552K)
  • Ai ISHIDA, Keita EMURA, Goichiro HANAOKA, Yusuke SAKAI, Keisuke TANAKA
    Article type: PAPER
    Subject area: Cryptography and Information Security
    2015 Volume E98.A Issue 12 Pages 2446-2455
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    The primitive called public key encryption with non-interactive opening (PKENO) is a class of public key encryption (PKE) with additional functionality. By using this, a receiver of a ciphertext can prove that the ciphertext is an encryption of a specified message in a publicly verifiable manner. In some situation that a receiver needs to claim that a ciphertext is NOT decrypted to a specified message, if he/she proves the fact by using PKENO straightforwardly, the real message of the ciphertext is revealed and a verifier checks that it is different from the specified message about which the receiver wants to prove. However, this naive solution is problematic in terms of privacy. Inspired by this problem, we propose the notion of disavowable public key encryption with non-interactive opening (disavowable PKENO) where, with respect to a ciphertext and a message, the receiver of the ciphertext can issue a proof that the plaintext of the ciphertext is NOT the message. Also, we give a concrete construction. Specifically, a disavowal proof in our scheme consists of 61 group elements. The proposed disavowable PKENO scheme is provably secure in the standard model under the decisional linear assumption and strong unforgeability of the underlying one-time signature scheme.
    Download PDF (1455K)
  • SeongHan SHIN, Kazukuni KOBARA, Hideki IMAI
    Article type: PAPER
    Subject area: Cryptography and Information Security
    2015 Volume E98.A Issue 12 Pages 2456-2470
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In the literature, many cryptosystems have been proposed to be secure under the Strong Diffie-Hellman (SDH) and related problems. For example, there is a cryptosystem that is based on the SDH/related problem or allows the Diffie-Hellman oracle. If the cryptosystem employs general domain parameters, this leads to a significant security loss caused by Cheon's algorithm [14], [15]. However, all elliptic curve domain parameters explicitly recommended in the standards (e.g., ANSI X9.62/63 [1], [2], FIPS PUB 186-4 [43], SEC 2 [50], [51]) are susceptible to Cheon's algorithm [14], [15]. In this paper, we first prove that (q-1)(q+1) is always divisible by 24 for any prime order q>3. Based on this result and depending on small divisors d1,d2≤(log q)2, we classify primes q>3, such that both (q-1)/d1 and (q+1)/d2 are primes, into Perfect, Semiperfect, SEC1v2 and Acceptable. Then, we describe algorithmic procedures and show their simulation results of secure elliptic curve domain parameters over prime/character 2 finite fields resistant to Cheon's algorithm [14], [15]. Also, several examples of the secure elliptic curve domain parameters (including Perfect or Semiperfect prime q) are followed.
    Download PDF (1616K)
  • Yasutada OOHAMA
    Article type: LETTER
    Subject area: Shannon Theory
    2015 Volume E98.A Issue 12 Pages 2471-2475
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In 1973, Arimoto proved the strong converse theorem for the discrete memoryless channels stating that when transmission rate R is above channel capacity C, the error probability of decoding goes to one as the block length n of code word tends to infinity. He proved the theorem by deriving the exponent function of error probability of correct decoding that is positive if and only if R>C. Subsequently, in 1979, Dueck and Körner determined the optimal exponent of correct decoding. Arimoto's bound has been said to be equal to the bound of Dueck and Körner. However its rigorous proof has not been presented so far. In this paper we give a rigorous proof of the equivalence of Arimoto's bound to that of Dueck and Körner.
    Download PDF (122K)
  • Junru ZHENG, Takayasu KAIDA
    Article type: LETTER
    Subject area: Coding Theory
    2015 Volume E98.A Issue 12 Pages 2476-2479
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    The authors proposed an algorithm for calculation of new lower bound (rank bounded distance) using the discrete Fourier transform in 2010. Afterward, we considered some algorithms to improve the original algorithm with moving the row or column. In this paper, we discuss the calculation method of the rank bounded distance by conjugate elements for cyclic codes.
    Download PDF (104K)
  • Yohei ONISHI, Hidaka KINUGASA, Takashi MURAKI, Motohiko ISAKA
    Article type: LETTER
    Subject area: Coding Theory
    2015 Volume E98.A Issue 12 Pages 2480-2482
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    We present numerical results on the rate-distortion performance of convolutional coding for the binary symmetric source, and show how convolutional codes approach the rate-distortion bound by increasing the trellis states.
    Download PDF (202K)
Special Section on VLSI Design and CAD Algorithms
  • Masahiro FUKUI
    2015 Volume E98.A Issue 12 Pages 2483
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Download PDF (289K)
  • Tatsuro KOJO, Masashi TAWADA, Masao YANAGISAWA, Nozomu TOGAWA
    Article type: PAPER
    Subject area: High-Level Synthesis and System-Level Design
    2015 Volume E98.A Issue 12 Pages 2484-2493
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Data stored in non-volatile memories may be destructed due to crosstalk and radiation but we can restore their data by using error-correcting codes. However, non-volatile memories consume a large amount of energy in writing. How to reduce maximum writing bits even using error-correcting codes is one of the challenges in non-volatile memory design. In this paper, we first propose Doughnut code which is based on state encoding limiting maximum and minimum Hamming distances. After that, we propose a code expansion method, which improves maximum and minimum Hamming distances. When we apply our code expansion method to Doughnut code, we can obtain a code which reduces maximum-flipped bits and has error-correcting ability equal to Hamming code. Experimental results show that the proposed code efficiently reduces the number of maximum-writing bits.
    Download PDF (1644K)
  • Masashi TAWADA, Shinji KIMURA, Masao YANAGISAWA, Nozomu TOGAWA
    Article type: PAPER
    Subject area: High-Level Synthesis and System-Level Design
    2015 Volume E98.A Issue 12 Pages 2494-2504
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Non-volatile memory has many advantages such as high density and low leakage power but it consumes larger writing energy than SRAM. It is quite necessary to reduce writing energy in non-volatile memory design. In this paper, we propose write-reduction codes based on error correcting codes and reduce writing energy in non-volatile memory by decreasing the number of writing bits. When a data is written into a memory cell, we do not write it directly but encode it into a codeword. In our write-reduction codes, every data corresponds to an information vector in an error-correcting code and an information vector corresponds not to a single codeword but a set of write-reduction codewords. Given a writing data and current memory bits, we can deterministically select a particular write-reduction codeword corresponding to the data to be written, where the maximum number of flipped bits are theoretically minimized. Then the number of writing bits into memory cells will also be minimized. Experimental results demonstrate that we have achieved writing-bits reduction by an average of 51% and energy reduction by an average of 33% compared to non-encoded memory.
    Download PDF (1592K)
  • Surachai THONGKAEW, Tsuyoshi ISSHIKI, Dongju LI, Hiroaki KUNIEDA
    Article type: PAPER
    Subject area: High-Level Synthesis and System-Level Design
    2015 Volume E98.A Issue 12 Pages 2505-2518
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    The Process Virtual Machine (VM) is typical software that runs applications inside operating systems. Its purpose is to provide a platform-independent programming environment that abstracts away details of the underlying hardware, operating system and allows bytecodes (portable code) to be executed in the same way on any other platforms. The Process VMs are implemented using an interpreter to interpret bytecode instead of direct execution of host machine codes. Thus, the bytecode execution is slower than those of the compiled programming language execution. Several techniques including our previous paper, the “Fetch/Decode Hardware Extension”, have been proposed to speed up the interpretation of Process VMs. In this paper, we propose an additional methodology, the “Hardware Extension with Hybrid Execution” to further enhance the performance of Process VMs interpretation and focus on Register-based model. This new technique provides an additional decoder which can classify bytecodes into either simple or complex instructions. With “Hybrid Execution”, the simple instruction will be directly executed on hardware of native processor. The complex instruction will be emulated by the “extra optimized bytecode software handler” of native processor. In order to eliminate the overheads of retrieving and storing operand on memory, we utilize the physical registers instead of (low address) virtual registers. Moreover, the combination of 3 techniques: Delay scheduling, Mode predictor HW and Branch/goto controller can eliminate all of the switching mode overheads between native mode and bytecode mode. The experimental results show the improvements of execution speed on the Arithmetic instructions, loop & conditional instructions and method invocation & return instructions can be achieved up to 16.9x, 16.1x and 3.1x respectively. The approximate size of the proposed hardware extension is 0.04mm2 (or equivalent to 14.81k gates) and consumes an additional power of only 0.24mW. The stated results are obtained from logic synthesis using the TSMC 90nm technology @ 200MHz.
    Download PDF (4309K)
  • Jianbin ZHOU, Dajiang ZHOU, Shihao WANG, Takeshi YOSHIMURA, Satoshi GO ...
    Article type: PAPER
    Subject area: High-Level Synthesis and System-Level Design
    2015 Volume E98.A Issue 12 Pages 2519-2527
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    8K Ultra High Definition Television (UHDTV) requires extremely high throughput for video decoding based on H.265. In H.265, intra coding could significantly enhance video compression efficiency, at the expense of an increased computational complexity compared with H.264. For intra prediction of 8K UHDTV real-time H.265 decoding, the joint complexity and throughput issue is more difficult to solve. Therefore, based on the divide-and-conquer strategy, we propose a new VLSI architecture in this paper, including two techniques, in order to achieve 8K UHDTV H.265 intra prediction decoding. The first technique is the LUT based Reference Sample Fetching Scheme (LUT-RSFS), reducing the number of reference samples in the worst case from 99 to 13. It further reduces the circuit area and enhances the performance. The second one is the Hybrid Block Reordering and Data Forwarding (HBRDF), minimizing the idle time and eliminating the dependency between TUs by creating 3 Data Forwarding paths. It achieves the hardware utilization of 94%. Our design is synthesized using Synopsys Design Compiler in 40nm process technology. It achieves an operation frequency of 260MHz, with a gate count of 217.8K for 8-bit design, and 251.1K for 10-bit design. The proposed VLSI architecture can support 4320p@120fps H.265 intra decoding (8-bit or 10-bit), with all 35 intra prediction modes and prediction unit sizes ranging from 4×4 to 64×64.
    Download PDF (2256K)
  • Xiantao JIANG, Tian SONG, Wen SHI, Takashi SHIMAMOTO, Lisheng WANG
    Article type: PAPER
    Subject area: High-Level Synthesis and System-Level Design
    2015 Volume E98.A Issue 12 Pages 2528-2536
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    The purpose of this work is to reduce the redundant coding process with the tradeoff between the encoding complexity and coding efficiency in HEVC, especially for high resolution applications. Therefore, a CU depth prediction algorithm is proposed for motion estimation process of HEVC. At first, an efficient CTU depth prediction algorithm is proposed to reduce redundant depth. Then, CU size termination and skip algorithm is proposed based on the neighboring block depth and motion consistency. Finally, the overall algorithm, which has excellent complexity reduction performance for high resolution application is proposed. Moreover, the proposed method achieves steady performance, and it can significantly reduce the encoding time in different environment configuration and quantization parameter. The simulation experiment results demonstrate that, in the RA case, the average time saving is about 56% with only 0.79% BD-bitrate loss for the high resolution, and this performance is better than the previous state of the art work.
    Download PDF (2153K)
  • Masaru OYA, Youhua SHI, Noritaka YAMASHITA, Toshihiko OKAMURA, Yukiyas ...
    Article type: PAPER
    Subject area: Logic Synthesis, Test and Verification
    2015 Volume E98.A Issue 12 Pages 2537-2546
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Outsourcing IC design and fabrication is one of the effective solutions to reduce design cost but it may cause severe security risks. Particularly, malicious outside vendors may implement Hardware Trojans (HTs) on ICs. When we focus on IC design phase, we cannot assume an HT-free netlist or a Golden netlist and it is too difficult to identify whether a given netlist is HT-free or not. In this paper, we propose a score-based hardware-trojans identifying method at gate-level netlists without using a Golden netlist. Our proposed method does not directly detect HTs themselves in a gate-level netlist but it detects a net included in HTs, which is called Trojan net, instead. Firstly, we observe Trojan nets from several HT-inserted benchmarks and extract several their features. Secondly, we give scores to extracted Trojan net features and sum up them for each net in benchmarks. Then we can find out a score threshold to classify HT-free and HT-inserted netlists. Based on these scores, we can successfully classify HT-free and HT-inserted netlists in all the Trust-HUB gate-level benchmarks and ISCAS85 benchmarks as well as HT-free and HT-inserted AES gate-level netlists. Experimental results demonstrate that our method successfully identify all the HT-inserted gate-level benchmarks to be “HT-inserted” and all the HT-free gate-level benchmarks to be “HT-free” in approximately three hours for each benchmark.
    Download PDF (2364K)
  • Huiqian JIANG, Mika FUJISHIRO, Hirokazu KODERA, Masao YANAGISAWA, Nozo ...
    Article type: PAPER
    Subject area: Logic Synthesis, Test and Verification
    2015 Volume E98.A Issue 12 Pages 2547-2555
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Camellia is a block cipher jointly developed by Mitsubishi and NTT of Japan. It is designed suitable for both software and hardware implementations. One of the design-for-test techniques using scan chains is called scan-path test, in which testers can observe and control the registers inside the LSI chip directly in order to check if the LSI chip correctly operates or not. Recently, a scan-based side-channel attack is reported which retrieves the secret information from the cryptosystem using scan chains. In this paper, we propose a scan-based attack method on the Camellia cipher using scan signatures. Our proposed method is based on the equivalent transformation of the Camellia algorithm and the possible key candidate reduction in order to retrieve the secret key. Experimental results show that our proposed method sucessfully retrieved its 128-bit secret key using 960 plaintexts even if the scan chain includes the Camellia cipher and other circuits and also sucessfully retrieves its secret key on the SASEBO-GII board, which is a side-channel attack standard evaluation board.
    Download PDF (3258K)
  • Takahiro KAWAGUCHI, Kazuyoshi TAKAGI, Naofumi TAKAGI
    Article type: PAPER
    Subject area: Logic Synthesis, Test and Verification
    2015 Volume E98.A Issue 12 Pages 2556-2564
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Superconducting single-flux-quantum (SFQ) device is an emerging device which can realize digital circuits with high switching speed and low power consumption. In SFQ digital circuits, voltage pulses are used for carrier of information, and the representation of logic values is different from that of CMOS circuits. Design methods exclusive to SFQ circuits have been developed. In this paper, we present timing analysis and functional verification methods for SFQ circuits based on new timing model which we call delay-based time frame model. Assuming that possible pulse arrival is periodic, the model defines comprehensive time frames and representation of logic values. In static timing analysis, expected pulse arrival time is checked based on the model, and the order among pulse arrival times is calculated for each logic gate. In functional verification, the circuit behavior is abstracted in a form similar to a synchronous sequential circuit using the order of pulse arrival times, and then the behavior is verified using formal verification tools. Using our proposed methods, we can verify the functional behavior of SFQ circuits with complex clocking scheme, which appear often in practical design but cannot be dealt with in existing verification method. Experimental results show that our method can be applied to practical designs.
    Download PDF (1113K)
  • Yuta NAKATANI, Atsushi TAKAHASHI
    Article type: PAPER
    Subject area: Physical Level Design
    2015 Volume E98.A Issue 12 Pages 2565-2571
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In the routing design of interposer and etc., the combination of a pin pair to be connected by wire is often flexible, and the reductions of the total wire length and the length difference are pursued to keep the circuit performance. Even though the total wire length can be minimized by finding a minimum cost maximum flow in set pair routing problems, the length difference is often large, and the reduction of it is not easy. In this paper, an algorithm that reduces the length difference while keeping the total wire length small is proposed. In the proposed algorithm, an initial routing first obtained by a minimum cost maximum flow. Then it is modified to reduce the maximum length while keeping the minimum total wire length, and a connection of the minimum length is detoured to reduce the length difference. The effectiveness of the proposed algorithm is confirmed by experiments.
    Download PDF (932K)
  • Masato INAGI, Yuichi NAKAMURA, Yasuhiro TAKASHIMA, Shin'ichi WAKABAYAS ...
    Article type: PAPER
    Subject area: Physical Level Design
    2015 Volume E98.A Issue 12 Pages 2572-2583
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Multi-FPGA systems, which consist of multiple FPGAs and a printed circuit board connecting them, are useful and important tools for prototyping large scale circuits, including SoCs. In this paper, we propose a method for optimizing inter-FPGA signal transmission to accelerate the system frequency of multi-FPGA prototyping systems and shorten prototyping time. Compared with the number of I/O pins of an FPGA, the number of I/O signals between FPGAs usually becomes very large. Thus, time-multiplexed I/Os are used to resolve the problem. On the other hand, they introduce large delays to inter-FPGA I/O signals, and much lower the system frequency. To reduce the degradation of the system frequency, we have proposed a method for optimally selecting signals to be time-multiplexed and signals not to be time-multiplexed. However, this method assumes that there exist physical connections (i.e., wires on the printed circuit board) between every pair of FPGAs, and cannot handle I/O signals between a pair of FPGAs that have no physical connections between them. Thus, in this paper, we propose a method for obtaining indirect inter-FPGA routes for such I/O signals, and then combine the indirect routing method and the time-multiplexed signal selection method to realize effective time-multiplexing of inter-FPGA I/O signals on systems with various topologies.
    Download PDF (1560K)
  • Li-Chung HSU, Junichiro KADOMOTO, So HASEGAWA, Atsutake KOSUGE, Yasuhi ...
    Article type: PAPER
    Subject area: Physical Level Design
    2015 Volume E98.A Issue 12 Pages 2584-2591
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    ThruChip interface (TCI) is an emerging wireless interface in three-dimensional (3-D) integrated circuit (IC) technology. However, the TCI physical design guidelines remain unclear. In this paper, a ThruChip test chip is designed and fabricated for design guidelines exploration. Three inductive coupling interface physical design scenarios, baseline, power mesh, and dummy metal fill, are deployed in the test chip. In the baseline scenario, the test chip measurement results show that thinning chip or enlarging coil dimension can further reduce TCI power. The power mesh scenario shows that the eddy current on power mesh can dramatically reduce magnetic pulse signal and thus possibly cause TCI to fail. A power mesh splitting method is proposed to effectively suppress eddy current impact while minimizing power mesh structure impact. The simulation results show that the proposed method can recover 77% coupling coefficient loss while only introducing additional 0.5% IR-drop. In dummy metal fill case, dummy metal fill enclosed within TCI coils have no impact on TCI transmission and thus are ignorable.
    Download PDF (6600K)
  • Keisuke OKUNO, Shintaro IZUMI, Kana MASAKI, Hiroshi KAWAGUCHI, Masahik ...
    Article type: PAPER
    Subject area: Circuit Design
    2015 Volume E98.A Issue 12 Pages 2592-2599
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    This report describes an all-digital phase-locked loop (ADPLL) using a temperature compensated settling time reduction technique. The novelty of this work is autonomous oscillation control word estimation without a look-up table or memory circuits. The proposed ADPLL employs a multi-phase digitally controlled oscillator (DCO). In the proposed estimation method, the optimum oscillator tuning word (OTW) is estimated from the DCO frequency characteristic in the setup phase of ADPLL. The proposed ADPLL, which occupies 0.27×0.36mm2, is fabricated by a 65 nm CMOS process. The temperature compensation PLL controller (TCPC) is implemented using an FPGA. Although the proposed method has 20% area overhead, measurement results show that the 47% settling time is reduced. The average settling time at 25°C is 3µs. The average reduction energy is at least 42% from 0°C to 100°C.
    Download PDF (2859K)
  • Yuzuru SHIZUKU, Tetsuya HIROSE, Nobutaka KUROKI, Masahiro NUMA, Mitsuj ...
    Article type: PAPER
    Subject area: Circuit Design
    2015 Volume E98.A Issue 12 Pages 2600-2606
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In this paper, we propose a low-power circuit-shared static flip-flop (CS2FF) for extremely low power digital VLSIs. The CS2FF consists of five static NORs and two inverters (INVs). The CS2FF utilizes a positive edge of a buffered clock signal, which is generated from a root clock, to take data into a master latch and a negative edge of the root clock to hold the data in a slave latch. The total number of transistors is only 24, which is the same as the conventional transmission-gate flip flop (TGFF) used in the most standard cell libraries. SPICE simulations in 0.18-µm standard CMOS process demonstrated that our proposed CS2FF achieved clock-to-Q delay of 18.3ns, setup time of 10.0ns, hold time of 5.5ns, and power dissipation of 9.7nW at 1-MHz clock frequency and 0.5-V power supply. The physical design area increased by 16% and power dissipation was reduced by 13% compared with those of conventional TGFF. Measurement results demonstrated that our proposed CS2FF can operate at 0.352V with extremely low energy of 5.93fJ.
    Download PDF (3986K)
  • Shoichi IIZUKA, Yuma HIGUCHI, Masanori HASHIMOTO, Takao ONOYE
    Article type: PAPER
    Subject area: Device and Circuit Modeling and Analysis
    2015 Volume E98.A Issue 12 Pages 2607-2613
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    The RO (Ring-Oscillator)-based sensor is one of easily-implementable variation sensors, but for decomposing the observed variability into multiple unique device-parameter variations, a large number of ROs with different structures and sensitivities to device-parameters is required. This paper proposes an area efficient device parameter estimation method with sensitivity-configurable ring oscillator (RO). This sensitivity-configurable RO has a number of configurations and the proposed method exploits this property for reducing sensor area and/or improving estimation accuracy. The proposed method selects multiple sets of sensitivity configurations, obtains multiple estimates and computes the average of them for accuracy improvement exploiting an averaging effect. Experimental results with a 32-nm predictive technology model show that the proposed averaging with multiple estimates can reduce the estimation error by 49% or reduce the sensor area by 75% while keeping the accuracy. Compared to previous work with iterative estimation, 23% accuracy improvement is achieved.
    Download PDF (1330K)
  • Nanako NIIOKA, Masayuki WATANABE, Masa-aki FUKASE, Masashi IMAI, Atsus ...
    Article type: PAPER
    Subject area: Device and Circuit Modeling and Analysis
    2015 Volume E98.A Issue 12 Pages 2614-2624
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    To design high quality three-dimensional integrated circuits (3-D ICs), the effect of process and design parameters on delay must be adequately understood. This paper presents an electrical circuit model of an entire structure in through silicon via (TSV) based 3-D ICs with a new equation for on-chip interconnect capacitance and then proposes an effective model for evaluating signal propagation delay in vertically stacked chips. All electrical parameter values can be calculated by the closed-form equations without a field solver. The delay model is constructed with the first- or second-order function of each parameter to the delay obtained from a typical structure. The results obtained by on-chip interconnect capacitance equations and delay model are in excellent agreement with those by a field solver and circuit simulator, respectively. We also show that the model is very useful for evaluating effects of the process and design parameters on vertical signal propagation delay such as the sensitivity and variability analysis.
    Download PDF (2627K)
Regular Section
  • Kazuki SAITO, Kenji SUYAMA
    Article type: PAPER
    Subject area: Digital Signal Processing
    2015 Volume E98.A Issue 12 Pages 2625-2632
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In this paper, we propose a method for designing finite impulse response (FIR) filters with canonic signed digit (CSD) coefficients using particle swarm optimization (PSO). In such a design problem, a large number of local minimums appear in an evaluation function for the optimization. An updating procedure of PSO tends to stagnate around such local minimums and thus indicates a premature convergence property. Therefore, a new framework for avoiding such a situation is proposed, in which the evaluation function is modified around the stagnation point. Several design examples are shown to present the effectiveness of the proposed method.
    Download PDF (1783K)
  • Yong QIN, Hong MA, Li CHENG, Xueqin ZHOU
    Article type: PAPER
    Subject area: Digital Signal Processing
    2015 Volume E98.A Issue 12 Pages 2633-2641
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    A novel approach for the multiple-model multi-sensor Bernoulli filter (MM-MSBF) based on the theory of finite set statistics (FISST) is proposed for a single maneuvering target tracking in the presence of detection uncertainty and clutter. First, the FISST is used to derive the multi-sensor likelihood function of MSBF, and then combining the MSBF filter with the interacting multiple models (IMM) algorithm to track the maneuvering target. Moreover, the sequential Monte Carlo (SMC) method is used to implement the MM-MSBF algorithm. Eventually, the simulation results are provided to demonstrate the effectiveness of the proposed filter.
    Download PDF (1182K)
  • Yazhong ZHANG, Jinjian WU, Guangming SHI, Xuemei XIE, Yi NIU, Chunxiao ...
    Article type: PAPER
    Subject area: Digital Signal Processing
    2015 Volume E98.A Issue 12 Pages 2642-2649
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Reduced-reference (RR) image quality assessment (IQA) algorithm aims to automatically evaluate the distorted image quality with partial reference data. The goal of RR IQA metric is to achieve higher quality prediction accuracy using less reference information. In this paper, we introduce a new RR IQA metric by quantifying the difference of discrete cosine transform (DCT) entropy features between the reference and distorted images. Neurophysiological evidences indicate that the human visual system presents different sensitivities to different frequency bands. Moreover, distortions on different bands result in individual quality degradations. Therefore, we suggest to calculate the information degradation on each band separately for quality assessment. The information degradations are firstly measured by the entropy difference of reorganized DCT coefficients. Then, the entropy differences on all bands are pooled to obtain the quality score. Experimental results on LIVE, CSIQ, TID2008, Toyama and IVC databases show that the proposed method performs highly consistent with human perception with limited reference data (8 values).
    Download PDF (3546K)
  • Jin LI-YOU, Ying-Ren CHIEN, Yu TSAO
    Article type: PAPER
    Subject area: Digital Signal Processing
    2015 Volume E98.A Issue 12 Pages 2650-2657
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Determining an effective way to reduce computation complexity is an essential task for adaptive echo cancellation applications. Recently, a family of partial update (PU) adaptive algorithms has been proposed to effectively reduce computational complexity. However, because a PU algorithm updates only a portion of the weights of the adaptive filters, the rate of convergence is reduced. To address this issue, this paper proposes an enhanced switching-based variable step-size (ES-VSS) approach to the M-max PU least mean square (LMS) algorithm. The step-size is determined by the correlation between the error signals and their noise-free versions. Noise-free error signals are approximated according to the level of convergence achieved during the adaptation process. The approximation of the noise-free error signals switches among four modes, such that the resulting step-size is as close to its optimal value as possible. Simulation results show that when only a half of all taps are updated in a single iteration, the proposed method significantly enhances the convergence rate of the M-max PU LMS algorithm.
    Download PDF (4601K)
  • Yasuhiro TAKEI, Hasitha Muthumala WAIDYASOORIYA, Masanori HARIYAMA, Mi ...
    Article type: PAPER
    Subject area: VLSI Design Technology and CAD
    2015 Volume E98.A Issue 12 Pages 2658-2669
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    For an FPGA-based heterogeneous multicore platform, we present the design methodology to reduce the total processing time considering data-transfer. The reconfigurability of recent FPGAs with hard CPU cores allows us to realize a single-chip heterogeneous processor optimized for a given application. The major problem in designing such heterogeneous processors is data-transfer between CPU cores and accelerator cores. The total processing time with data-transfers is modeled considering the overlap of computation time and data-transfer time, and optimal design parameters are searched for.
    Download PDF (2441K)
  • Tzu-Liang KUNG, Hon-Chan CHEN
    Article type: PAPER
    Subject area: Graphs and Networks
    2015 Volume E98.A Issue 12 Pages 2670-2676
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    A graph G is two-disjoint-cycle-cover r-pancyclic if for any integer l satisfying rl|V(G)|-r, there exist two vertex-disjoint cycles C1 and C2 in G such that the lengths of C1 and C2 are |V(G)|-l and l, respectively, where |V(G)| denotes the total number of vertices in G. In particular, the graph G is two-disjoint-cycle-cover vertex r-pancyclic if for any two distinct vertices u and v of G, there exist two vertex-disjoint cycles C1 and C2 in G such that (i) C1 contains u, (ii) C2 contains v, and (iii) the lengths of C1 and C2 are |V(G)|-l and l, respectively, for any integer l satisfying rl|V(G)|-r. Moreover, G is two-disjoint-cycle-cover edge r-pancyclic if for any two vertex-disjoint edges (u,v) and (x,y) of G, there exist two vertex-disjoint cycles C1 and C2 in G such that (i) C1 contains (u,v), (ii) C2 contains (x,y), and (iii) the lengths of C1 and C2 are |V(G)|-l and l, respectively, for any integer l satisfying rl|V(G)|-r. In this paper, we first give Dirac-type sufficient conditions for general graphs to be two-disjoint-cycle-cover vertex/edge 3-pancyclic, and we also prove that the n-dimensional crossed cube CQn is two-disjoint-cycle-cover 4-pancyclic for n≥3, vertex 4-pancyclic for n≥5, and edge 6-pancyclic for n≥5.
    Download PDF (848K)
  • Shixiong WANG, Longjiang QU, Chao LI, Shaojing FU
    Article type: PAPER
    Subject area: Cryptography and Information Security
    2015 Volume E98.A Issue 12 Pages 2677-2685
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In this paper, we investigate the security property of RSA when some middle bits of the private key d are known to an attacker. Using the technique of unravelled linearization, we present a new attack on RSA with known middle bits, which improves a previous result under certain circumstance. Our approach is based on Coppersmith's method for finding small roots of modular polynomial equations.
    Download PDF (938K)
  • Feng WEN, Xingqiao WANG
    Article type: PAPER
    Subject area: Intelligent Transport System
    2015 Volume E98.A Issue 12 Pages 2686-2693
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Route guidance system is one of the essential components of a vehicle navigation system in ITS. In this paper, a centrally determined route guidance system is established to solve congestion problems. The Sarsa learning method is used to guide vehicles, and global and local parameter strategy is proposed to adjust the vehicle guidance by considering the whole traffic system and local traffic environment, respectively. The proposed method can save the average driving time and relieve traffic congestion. The evaluation was done using two cases on different road networks. The experimental results show the efficiency and effectiveness of the proposed algorithm.
    Download PDF (1841K)
  • Tuan-Anh NGUYEN, Min-Cheol HONG
    Article type: PAPER
    Subject area: Image
    2015 Volume E98.A Issue 12 Pages 2694-2700
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    This paper introduces a fast image denoising algorithm by estimating noise parameters without prior information about the noise. Under the assumption that additive noise has a Gaussian distribution, the noise parameters were estimated from an observed degraded image, and were used to define the constraints of a noise detection process that was coupled with a Markov random field (MRF). In addition, an adaptive modified weighted Gaussian filter with variable window sizes defined by the constraints on noise detection was used to control the degree of smoothness of the reconstructed image. Experimental results demonstrate the capability of the proposed algorithm.
    Download PDF (1834K)
  • Yonggang HU, Xiongwei ZHANG, Xia ZOU, Gang MIN, Meng SUN, Yunfei ZHENG
    Article type: LETTER
    Subject area: Speech and Hearing
    2015 Volume E98.A Issue 12 Pages 2701-2704
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    The conventional non-negative matrix factorization (NMF)-based speech enhancement is accomplished by updating iteratively with the prior knowledge of the clean speech and noise spectra bases. With the probabilistic estimation of whether the speech is present or not in a certain frame, this letter proposes a speech enhancement algorithm incorporating the speech presence probability (SPP) obtained via noise estimation to the NMF process. To take advantage of both the NMF-based and statistical model-based approaches, the final enhanced speech is achieved by applying a statistical model-based filter to the output of the SPP weighted NMF. Objective evaluations using perceptual evaluation of speech quality (PESQ) on TIMIT with 20 noise types at various signal-to-noise ratio (SNR) levels demonstrate the superiority of the proposed algorithm over the conventional NMF and statistical model-based baselines.
    Download PDF (448K)
  • Liang LIU, Ping WEI, Hong Shu LIAO
    Article type: LETTER
    Subject area: Digital Signal Processing
    2015 Volume E98.A Issue 12 Pages 2705-2708
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Spatial compressive sensing (SCS) has recently been applied to direction-of-arrival (DOA) estimation, owing to its advantages over conventional versions. However the performance of compressive sensing (CS)-based estimation methods degrades when the true DOAs are not exactly on the discretized sampling grid. We solve the off-grid DOA estimation problem using the deterministic maximum likelihood (DML) estimation method. In this letter, on the basis of the convexity of the DML function, we propose a computationally efficient algorithm framework for off-grid DOA estimation. Numerical experiments demonstrate the superior performance of the proposed methods in terms of accuracy, robustness and speed.
    Download PDF (255K)
  • Guang Kuo LU, Man Lin XIAO, Ping WEI, Hong Shu LIAO
    Article type: LETTER
    Subject area: Digital Signal Processing
    2015 Volume E98.A Issue 12 Pages 2709-2712
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    This letter investigates the circularity of fractional Fourier transform (FRFT) coefficients containing noise only, and proves that all coefficients coming from white Gaussian noise are circular via the discrete FRFT. In order to use the spectrum kurtosis (SK) as a Gaussian test to check if linear frequency modulation (LFM) signals are present in a set of FRFT points, the effect of the noncircularity of Gaussian variables upon the SK of FRFT coefficients is studied. The SK of the α th-order FRFT coefficients for LFM signals embedded in a white Gaussian noise is also derived in this letter. Finally the signal detection algorithm based on FRFT and SK is proposed. The effectiveness and robustness of this algorithm are evaluated via simulations under lower SNR and weaker components.
    Download PDF (250K)
  • Jungkeun OH, Kyunghyun LEE, Kwanho YOU
    Article type: LETTER
    Subject area: Systems and Control
    2015 Volume E98.A Issue 12 Pages 2713-2718
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In this paper, we propose a localization algorithm that uses the time difference of arrival (TDOA) and the angle of arrival (AOA). The problem is formulated in a hybrid linear matrix equation. TDOA and AOA measurements are used for estimating the target's position. Although it is known that the accuracy of TDOA based localization is superior to that of AOA based localization, TDOA based localization has a poor vertical accuracy in deteriorated geometrical conditions. This paper, therefore, proposes a localization algorithm in which the vertical position is estimated by AOA measurements and the horizontal position is estimated by TDOA measurement in order to achieve high location accuracy in three dimensions. In addition, the Lagrange multipliers are obtained efficiently and robustly. The simulation analysis shows that the proposed constrained linear squares (CLS) algorithm is an unbiased estimator, and that it approaches the Cramer-Rao lower bound (CRLB) when the measurement noise and the sensor's location errors are sufficiently small.
    Download PDF (675K)
  • Munehisa SEKIKAWA, Naohiko INABA
    Article type: LETTER
    Subject area: Nonlinear Problems
    2015 Volume E98.A Issue 12 Pages 2719-2722
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    This study investigates quasiperiodic bifurcations generated in a coupled delayed logistic map. Since a delayed logistic map generates an invariant closed curve (ICC), a coupled delayed logistic map exhibits an invariant torus (IT). In a parameter region generating IT, ICC-generating regions extend in many directions like a web. This bifurcation structure is called an Arnol'd resonance web. In this study, we investigate the bifurcation structure of Chenciner bubbles, which are complete synchronization regions in the parameter space, and illustrate a complete bifurcation set for one of Chenciner bubbles. The bifurcation boundary of the Chenciner bubbles is surrounded by saddle-node bifurcation curves and Neimark-Sacker bifurcation curves.
    Download PDF (593K)
  • Yongjie LUO, Qun WAN, Guan GUI, Fumiyuki ADACHI
    Article type: LETTER
    Subject area: Numerical Analysis and Optimization
    2015 Volume E98.A Issue 12 Pages 2723-2727
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    This paper proposes a novel matching pursuit generalized approximate message passing (MPGAMP) algorithm which explores the support of sparse representation coefficients step by step, and estimates the mean and variance of non-zero elements at each step based on a generalized-approximate-message-passing-like scheme. In contrast to the classic message passing based algorithms and matching pursuit based algorithms, our proposed algorithm saves a lot of intermediate process memory, and does not calculate the inverse matrix. Numerical experiments show that MPGAMP algorithm can recover a sparse signal from compressed sensing measurements very well, and maintain good performance even for non-zero mean projection matrix and strong correlated projection matrix.
    Download PDF (827K)
  • Lin-Zhi SHEN, Fang-Wei FU, Xuan GUANG
    Article type: LETTER
    Subject area: Coding Theory
    2015 Volume E98.A Issue 12 Pages 2728-2732
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    In this paper, we consider the Reed-Solomon codes over Fqm with evaluations in a subfield Fq. By the “virtual extension”, we can embed these codes into homogeneous interleaved Reed-Solomon codes. Based on this property and the collaborative decoding algorithm, a new probabilistic decoding algorithm that can correct errors up to $\frac{m}{m+1}(n-k)$ for these codes is proposed. We show that whether the new decoding algorithm fails or not is only dependent on the error. We also give an upper bound on the failure probability of the new decoding algorithm for the case s=2. The new decoding algorithm has some advantages over some known decoding algorithms.
    Download PDF (175K)
  • Xiaoyu DANG, Qiang LI, Hao XIAO, Cheng WAN
    Article type: LETTER
    Subject area: Communication Theory and Signals
    2015 Volume E98.A Issue 12 Pages 2733-2737
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Network coding on the physical-layer has recently been widely discussed as a potentially promising solution to the wireless access problem in a relay network. However, the existing research on physical-layer network coding (PNC), usually assumes that the symbol timing of the nodes is fully synchronized and hardly investigates the unavoidable symbol timing errors. Similar to many telecommunication systems, symbol timing plays a critical role in PNC and precise alignment has to be provided for the encoding. In this work, we propose a novel symbol timing algorithm with a low oversampling factor (samples per symbol) based on the a priori knowledge of the transmitted pulse shape. The proposed algorithm has the dual advantages of the low oversampling rate and high precision. The mean square error (MSE) performance is verified by simulations to be at least one order of magnitude better than that of the conventional optimum phase (OP) algorithm for a signal noise ratio (SNR) greater than 5dB.
    Download PDF (526K)
  • Rana Asif REHMAN, Byung-Seo KIM
    Article type: LETTER
    Subject area: Mobile Information Network and Personal Communications
    2015 Volume E98.A Issue 12 Pages 2738-2742
    Published: December 01, 2015
    Released on J-STAGE: December 01, 2015
    JOURNAL RESTRICTED ACCESS
    Content centric networking (CCN) is a newly proposed futuristic Internet paradigm in which communication depends on the decoupling of content names from their locations. In CCN-based multihop wireless ad hoc networks, the participating nodes show dynamic topology, intermittent connectivity, channels fluctuation, and severe constraints such as limited battery power. In the case of traffic congestion, the affected nodes die early owing to the shortage of battery power. Consequently, all pending request entries are also destroyed, which further degrades the network performance as well as the node working lifetime. In this study, we have proposed a novel energy aware transmission scheme in which the forwarding mechanism is based on a node's residual energy. The proposed scheme is evaluated using official ndnSIM. This scheme enhances performance in terms of content retrieval time and total Interest transmission in the network.
    Download PDF (360K)
feedback
Top