IEICE Transactions on Electronics
Online ISSN : 1745-1353
Print ISSN : 0916-8524
E101.C 巻, 4 号
選択された号の論文の15件中1~15を表示しています
Special Section on Solid-State Circuit Design — Architecture, Circuit, Device and Design Methodology
  • Hideto Hidaka
    2018 年 E101.C 巻 4 号 p. 186
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル フリー
  • Hanli LIU, Teerachot SIRIBURANON, Kengo NAKATA, Wei DENG, Ju Ho SON, D ...
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 187-196
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    This paper presents a 27.5-29.6GHz fractional-N frequency synthesizer using reference and frequency doublers to achieve low in-band and out-of-band phase-noise for 5G mobile communications. A consideration of the baseband carrier recovery circuit helps estimate phase noise requirement for high modulation scheme. The push-push amplifier and 28GHz balun help achieving differential signals with low out-of-band phase noise while consuming low power. A charge pump with gated offset as well as reference doubler help reducing PD noise resulting in low in-band phase noise while sampling loop filter helps reduce spurs. The proposed synthesizer has been implemented in 65nm CMOS technology achieving an in-band and out-of-band phase noise of -78dBc/Hz and -126dBc/Hz, respectively. It consumes only a total power of 33mW. The jitter-power figure-of-merit (FOM) is -231dB which is the highest among the state of the art >20GHz fractional-N PLLs using a low reference clock (<200MHz). The measured reference spurs are less than -80dBc.

  • Tohru KANEKO, Yuya KIMURA, Masaya MIYAHARA, Akira MATSUZAWA
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 197-205
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    A continuous-time (CT) ΔΣ analog-to-digital converter (ADC) is a high resolution, wide-bandwidth ADC. A Gm-C filter is suitable for low power consumption and its frequency characteristics for a loop filter of the ADC. However, in practice, distortion generated in the Gm-C filter degrades the SNDR of the ADC, therefore a high-linearity Gm-cell with low power consumption is needed. A flipped voltage follower (FVF) Gm-cell is also used as a high-linearity Gm-cell, but distortion is caused by variation of drain-source voltage of its input transistors. In this paper, a new high-linearity Gm-cell is proposed for the CT ΔΣ ADC in order to address this problem. A proposed topology is a combination of a FVF and a cascode topology. The inserted transistors in the proposed Gm-cell behave as cascode transistors, therefore the drain-source voltage variation of the input transistor and a PMOS transistor for current source which causes distortion is suppressed. Simulation results show the proposed Gm-cell can realize the same linearity as the conventional Gm-cell with reducing 36% power consumption. A 20MHz-bandwidth CT ΔΣ ADC employing the proposed Gm-cells achieves SNDR of 72.4dB with power consumption of 6.8mW. Active area and FoM of the ADC are, respectively, 250μm × 220μm and 50fJ/conv.-step in 65nm CMOS process.

  • Abdel MARTINEZ ALONSO, Masaya MIYAHARA, Akira MATSUZAWA
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 206-217
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    A 7GS/s complete-DDFS-solution featuring a two-times interleaved RDAC with 1.2Vpp-diff output swing was fabricated in 65nm CMOS. The frequency tuning and amplitude resolutions are 24-bits and 10-bits respectively. The RDAC includes a mixed-signal, high-speed architecture for random swapping thermometer coding dynamic element matching that improves the narrowband SFDR up to 8dB for output frequencies below 1.85GHz. The proposed techniques enable a 7 GS/s operation with a spurious-free dynamic range better than 32dBc over the full Nyquist bandwidth. The worst case narrowband SFDR is 42dBc. This system consumes 87.9mW/(GS/s) from a 1.2V power supply when the RSTC-DEM method is enabled, resulting in a FoM of 458.9GS/s·2(SFDR/6)/W. A proof-of-concept chip with an active area of only 0.22mm2 was measured in prototypes encapsulated in a 144-pins low profile quad flat package.

  • Toru NAKURA, Tsukasa KAGAYA, Tetsuya IIZUKA, Kunihiro ASADA
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 218-223
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    This paper demonstrates a quick start method for Pulse-Width Controlled PLL (PWPLL). Our PLL converts the internal state into digital signals and stores them into a memory before getting into a sleep mode. The wakeup sequence reads the memory and presets the internal state so that our PLL can start the operation with close to the previously locked condition. Since the internal state includes not only the frequency control code but also the phase information, our quick start PLL locks in several clock cycles. A prototype chip fabricated in 0.18µm standard CMOS shows 50ns settling time (4 reference clock cycles), 18.5mW power consumption under 1.8V nominal supply voltage with 105µm×870µm silicon area.

  • Tohru KANEKO, Koji HIROSE, Akira MATSUZAWA
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 224-232
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    A current mirror circuit is often used in Gm-cells and current amplifiers in order to obtain high linearity and high accurate current gain. However, it is expected that a threshold voltage mismatch between transistors pair in the current mirror affects these performances in recent scaled technologies. In this paper, negative effects caused by the mismatch in the current mirror are considered and a new calibration technique for the mismatch issues is proposed. In the current mirror without the mismatch, the high-linearity operation is provided by distortion canceling under the condition that the transistors have the same operating points. The threshold voltage mismatch disturbs the cancellation, therefore the distortion is appeared. In order to address the issue, a new calibration technique using a backgating effect is considered. This calibration can reduce the threshold voltage mismatch directly by controlling the body bias voltage with DACs. According to simulation results with Monte Carlo sampling in 65nm CMOS process, owing to the proposed calibration, the worst HD2 and HD3 are improved by 18.4dB and 11.6dB, respectively. In addition, the standard deviation of the current gain is reduced from 399mdB to 34mdB.

  • Motofumi NAKANISHI, Shintaro IZUMI, Mio TSUKAHARA, Hiroshi KAWAGUCHI, ...
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 233-242
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    This paper presents an algorithm for a physical activity (PA) classification and metabolic equivalents (METs) monitoring and its System-on-a-Chip (SoC) implementation to realize both power reduction and high estimation accuracy. Long-term PA monitoring is an effective means of preventing lifestyle-related diseases. Low power consumption and long battery life are key features supporting the wider dissemination of the monitoring system. As described herein, an adaptive sampling method is implemented for longer battery life by minimizing the active rate of acceleration without decreasing accuracy. Furthermore, advanced PA classification using both the heart rate and acceleration is introduced. The proposed algorithms are evaluated by experimentation with eight subjects in actual conditions. Evaluation results show that the root mean square error with respect to the result of processing with fixed sampling rate is less than 0.22[METs], and the mean absolute error is less than 0.06[METs]. Furthermore, to minimize the system-level power dissipation, a dedicated SoC is implemented using 130-nm CMOS process with FeRAM. A non-volatile CPU using non-volatile memory and a flip-flop is used to reduce the stand-by power. The proposed algorithm, which is implemented using dedicated hardware, reduces the active rate of the CPU and accelerometer. The current consumption of the SoC is less than 3-µA. And the evaluation system using the test chip achieves 74% system-level power reduction. The total current consumption including that of the accelerometer is 11.3-µA on average.

  • Yusuke YAMAGA, Chihiro MATSUI, Yukiya SAKAKI, Ken TAKEUCHI
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 243-252
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    In order to reduce the memory cell errors in real-usage of NAND flash-based SSD, real usage-based precise reliability test for NAND flash of SSDs has been proposed. Reliability of the NAND flash memories of the SSDs is seriously degraded as the scaling of memory cells. However, conventional simple reliability tests of read-disturb and data-retention cannot give the same result as the real-life VTH shift and memory cell errors. To solve this problem, the proposed reliability test precisely reproduces the real memory cell failures by emulating the complicated read, write, and data-retention with SSD emulator. In this paper, the real-life VTH shift and memory cell errors between two generations of NAND flash memory with different characterized real workloads are provided. Using the proposed test method, 1.6-times BER difference is observed when write-cold and read-hot workload (hm_1) and write-hot and read-hot workload (prxy_1) are compared in 1Ynm MLC NAND flash. In addition, by NAND flash memory scaling from 1Xnm to 1Ynm generations, the discrepancy of error numbers between the conventional reliability test result and actual reliability measured by proposed reliability test is increased by 6.3-times. Finally, guidelines for read reference voltage shifts and strength of ECCs are given to achieve high memory cell reliability for various workloads.

  • Hirofumi TAKISHITA, Yutaka ADACHI, Chihiro MATSUI, Ken TAKECUHI
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 253-262
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    NAND flash memories used in solid-state drives (SSDs) will be replaced with storage-class memories (SCMs), which are comparable with NAND flash in their cost, and with DRAM in their speed. This paper describes the performance difference of the SCM/NAND flash hybrid SSD and the SCM-based SSD with between sector-unit read (512 Byte) and page-unit read (16 KByte, NAND flash page-size) using synthetic and real workload. Also, effect of the SCM read-unit size on SSD performance are analyzed. When SCM write/read latency is 0.1 us, performance difference of the SCM/NAND flash hybrid SSD with between page- and sector-unit read is about 1% and 6% at most for the write-intensive and read-intensive workloads, respectively. However, performance of the SCM-based SSD is significantly improved when sector-unit read is used because extra read latency does not occur. Especially, the SCM-based SSD IOPS is improved by 131% for proj_3 (read-hot-random), because its read request size is small but its read request ratio is large. This paper also shows IOPS of SCM-based SSD write/read with sector-unit read can be predicted by the average write/read request size of workloads.

  • Jianbin ZHOU, Dajiang ZHOU, Takeshi YOSHIMURA, Satoshi GOTO
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 263-272
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    Compressed Sensing based CMOS image sensor (CS-CIS) is a new generation of CMOS image sensor that significantly reduces the power consumption. For CS-CIS, the image quality and data volume of output are two important issues to concern. In this paper, we first proposed an algorithm to generate a series of deterministic and ternary matrices, which improves the image quality, reduces the data volume and are compatible with CS-CIS. Proposed matrices are derived from the approximate DCT and trimmed in 2D-zigzag order, thus preserving the energy compaction property as DCT does. Moreover, we proposed matrix row operations adaptive to the proposed matrix to further compress data (measurements) without any image quality loss. At last, a low-cost VLSI architecture of measurements compression with proposed matrix row operations is implemented. Experiment results show our proposed matrix significantly improve the coding efficiency by BD-PSNR increase of 4.2 dB, comparing with the random binary matrix used in the-state-of-art CS-CIS. The proposed matrix row operations for measurement compression further increases the coding efficiency by 0.24 dB BD-PSNR (4.8% BD-rate reduction). The VLSI architecture is only 4.3 K gates in area and 0.3 mW in power consumption.

  • Haruki MARUOKA, Masashi HIFUMI, Jun FURUTA, Kazutoshi KOBAYASHI
    原稿種別: PAPER
    2018 年 E101.C 巻 4 号 p. 273-280
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    We propose a radiation-hardened Flip-Flop (FF) with stacked transistors based on the Adaptive Coupling Flip-Flop (ACFF) with low power consumption in a 65 nm FDSOI process. The slave latch in ACFF is much weaker against soft errors than the master latch. We design several FFs with stacked transistors in the master or slave latches to mitigate soft errors. We investigate radiation hardness of the proposed FFs by α particle and neutron irradiation tests. The proposed FFs have higher radiation hardness than a conventional DFF and ACFF. Neutron irradiation and α particle tests revealed no error in the proposed AC Slave-Stacked FF (AC_SS FF) which has stacked transistors only in the slave latch. We also investigate radiation hardness of the proposed FFs by heavy ion irradiation. The proposed FFs maintain higher radiation hardness up to 40 MeV-cm2/mg than the conventional DFF. Stacked inverters become more sensitive to soft errors by increasing tilt angles. AC_SS FF achieves higher radiation hardness than ACFF with the performance equivalent to that of ACFF.

  • Hong-Thu NGUYEN, Xuan-Thuan NGUYEN, Cong-Kha PHAM
    原稿種別: BRIEF PAPER
    2018 年 E101.C 巻 4 号 p. 281-284
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    In this paper, the hardware architecture of a CORDIC-based Arithmetic Processor utilizing both angle recoding (ARD) CORDIC algorithm and scaling-free (SCFE) CORDIC algorithm is proposed and implemented in 180 nm CMOS technology. The arithmetic processor is capable of calculating the sine, cosine, sine hyperbolic, cosine hyperbolic, and multiplication function. The experimental results prove that the design is able to work at 100 MHz frequency and requires 12.96 mW power consumption. In comparison with some previous work, the design can be seen as a good choice for high-throughput low-energy applications.

Regular Section
  • Yuya KANEKO, Takeshi HIGASHINO, Minoru OKADA
    原稿種別: PAPER
    専門分野: Lasers, Quantum Electronics
    2018 年 E101.C 巻 4 号 p. 285-291
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    This paper demonstrates the suppressing power of 10 Gbps On Off keyed signal using biased half-wave rectification. Authors have previously reported that radio frequency (RF) and optical on-off keying (OOK) signal can be simultaneously transmitted over the radio over fiber (RoF) link [1]. Since the optical OOK signal has much broader bandwidth compared to RF signal, it interferes with RF signal. Reference [1] experimentally shows that the optical OOK signal degrades the RF signal in terms of signal-to-noise power ratio (SNR) when 10 Gbps OOK and 1.9 GHz microwave are employed as baseband and RF, respectively. This paper proposes an interference suppression, and the proposal is subsequently used for detecting the RF signal. Experiments are conducted for the purpose of the proof-of-concept of the proposal. Finally numerical simulations are employed to show the performance enhancement in terms of error vector magnitude (EVM).

  • Masahiro KANO, Toru NAKURA, Tetsuya IIZUKA, Kunihiro ASADA
    原稿種別: PAPER
    専門分野: Electronic Circuits
    2018 年 E101.C 巻 4 号 p. 292-298
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    This paper proposes a triangular active charge injection method to reduce resonant power supply noise by injecting the adequate amount of charge into the supply line of the LSI in response to the current consumption of the core circuit. The proposed circuit is composed of three key components, a voltage drop detector, an injection controller circuit and a canceling capacitor circuit. In addition to the theoretical analysis of the proposed method, the measurement results indicate that our proposed method with active capacitor can realize about 14% noise reduction compared with the original noise amplitude. The proposed circuit consumes 25.2 mW in steady state and occupies 0.182 mm2.

  • Ryosuke WATANABE, Takehiro MARIKO, Yoji SAITO
    原稿種別: BRIEF PAPER
    専門分野: Electronic Materials
    2018 年 E101.C 巻 4 号 p. 299-302
    発行日: 2018/04/01
    公開日: 2018/04/01
    ジャーナル 認証あり

    To prepare antireflection coating (ARC) by wet process is important technology for low cost fabrication of solar cells. In this research, we consider the optical reflectance of a three layer stack structure of ARC films on the pyramidally textured single-crystalline silicon substrates. Each layer of the ARC films is deposited by a spin-coating method. The triple layers consist of SiO2, SiO2-TiO2 mixture, and TiO2 films from air to the silicon substrate in that order, and the refractive index is slightly increased from air to the substrate. Light reflection can be reduced further mainly due to graded index effect. The optimized three layer structure ARC shows that the reflectance is below 0.048 at the wavelength of 600 nm.

feedback
Top